1. 16 Aug, 2017 1 commit
  2. 22 Nov, 2016 1 commit
  3. 21 Nov, 2016 2 commits
  4. 17 Nov, 2016 8 commits
  5. 16 Nov, 2016 6 commits
  6. 14 Nov, 2016 3 commits
  7. 08 Nov, 2016 1 commit
  8. 03 Nov, 2016 2 commits
  9. 28 Oct, 2016 1 commit
  10. 27 Oct, 2016 1 commit
    • Maciej Lipinski's avatar
      added module containing all the platform-dependent stuff needed for WRPC · 12df4287
      Maciej Lipinski authored
      - this new module contains the PLLs, buffers, PHY and DAC arbiter that
        would be usually copied in the top_level of each design
      - it was created to make integration of WRPC in user's design easier and
        cleaner
      - it is expected to be extended with more families in which case, only
        the PHY is expected to change
      - similar module will be created for Altera
      12df4287
  11. 26 Oct, 2016 4 commits
  12. 30 Aug, 2016 2 commits
  13. 26 Aug, 2016 1 commit
  14. 24 Aug, 2016 1 commit
  15. 19 Aug, 2016 4 commits
  16. 17 Aug, 2016 1 commit
  17. 27 Jul, 2016 1 commit
    • Maciej Lipinski's avatar
      [wr streamers] implemented snapshot of the statistis · cab82fab
      Maciej Lipinski authored
      it was hard to get fully coherent statistics, especially if they were changing
      fast (e.g. 250kHz in btrain). Setting snapshot bit to high copies at the same
      instant all the counters to separate registers. as long as snapshot bit is high,
      these registers are exposed to WB and SNMP. so one can read it as long as it
      takes and the data is still coherent.
      cab82fab