Commit 8bee5b5a authored by twlostow's avatar twlostow

fixed several bugs: race condition on address decoding (the CTR interrupt…

fixed several bugs: race condition on address decoding (the CTR interrupt issue), invalid reset handling in CROM init sequence & strange combinatorial loop in VME_IRQ_Controller. More bugs await.

git-svn-id: http://svn.ohwr.org/vme64x-core/trunk@194 665b4545-5c6b-4c24-801b-41150b02b44b
parent ca638b8e
This diff is collapsed.
This diff is collapsed.
......@@ -38,7 +38,7 @@ use work.vme64x_pack.all;
--===========================================================================
entity VME_Init is
Port ( clk_i : in std_logic;
RSTedge_i : in std_logic;
rst_n_i : in std_logic;
CRAddr_i : in std_logic_vector (18 downto 0);
CRdata_i : in std_logic_vector (7 downto 0);
InitReadCount_o : out std_logic_vector (8 downto 0);
......@@ -109,7 +109,7 @@ begin
p_coreInit : process(clk_i)
begin
if rising_edge(clk_i) then
if RSTedge_i = '1' then
if rst_n_i = '0' then
s_initState <= IDLE;
s_initReadCounter <= to_unsigned(0, s_initReadCounter'length);
s_latchCRdata <= '0';
......
This source diff could not be displayed because it is too large. You can view the blob instead.
This diff is collapsed.
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment