Commit 720c1d3f authored by Marek Gumiński's avatar Marek Gumiński

Changed clock frequency definitions.

parent 02e63867
create_clock -period 40.000 -name clk_boot -waveform {0.000 20.000} [get_ports fpga_clk_25mhz_p_i]
create_clock -period 16.000 -name clk_dmtd -waveform {0.000 8.000} [get_ports fpga_clk_dmtd_p_i]
create_clock -period 16.000 -name clk_ref -waveform {0.000 8.000} [get_ports fpga_clk_ref_p_i]
create_clock -period 6.4000 -name clk_dmtd -waveform {0.000 3.200} [get_ports fpga_clk_dmtd_p_i]
create_clock -period 6.4000 -name clk_ref -waveform {0.000 3.200} [get_ports fpga_clk_ref_p_i]
create_clock -period 8.000 -name clk_gtx_0 -waveform {0.000 4.000} [get_ports {gtx_clk_p_i[0]}]
create_clock -period 8.000 -name clk_gtx_1 -waveform {0.000 4.000} [get_ports {gtx_clk_p_i[1]}]
create_clock -period 8.000 -name clk_gtx_2 -waveform {0.000 4.000} [get_ports {gtx_clk_p_i[2]}]
create_clock -period 8.000 -name clk_gtx_3 -waveform {0.000 4.000} [get_ports {gtx_clk_p_i[3]}]
create_clock -period 8.000 -name clk_gtx_4 -waveform {0.000 4.000} [get_ports {gtx_clk_p_i[4]}]
create_clock -period 6.400 -name clk_gtx_0 -waveform {0.000 3.200} [get_ports {gtx_clk_p_i[0]}]
create_clock -period 6.400 -name clk_gtx_1 -waveform {0.000 3.200} [get_ports {gtx_clk_p_i[1]}]
create_clock -period 6.400 -name clk_gtx_2 -waveform {0.000 3.200} [get_ports {gtx_clk_p_i[2]}]
create_clock -period 6.400 -name clk_gtx_3 -waveform {0.000 3.200} [get_ports {gtx_clk_p_i[3]}]
create_clock -period 6.400 -name clk_gtx_4 -waveform {0.000 3.200} [get_ports {gtx_clk_p_i[4]}]
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment