Commit 47561189 authored by Maciej Lipinski's avatar Maciej Lipinski Committed by Marek Gumiński

SPLL: communiating status of ports (up/down) directly to SoftPLL

parent 5745da33
......@@ -125,6 +125,10 @@ entity wrsw_rt_subsystem is
pll_sync_n_o : out std_logic;
pll_reset_n_o : out std_logic;
-- bit per rx clock, indicates whether the clocks are OK (UP) or not reliabie (DOWN)
-- used for switchover between them
clk_rx_status_i : in std_logic_vector(g_num_rx_clocks-1 downto 0) :=(others=>'0');
-- Debug
spll_dbg_o : out std_logic_vector(5 downto 0)
);
......@@ -166,6 +170,7 @@ architecture rtl of wrsw_rt_subsystem is
dac_out_load_o : out std_logic;
out_enable_i : in std_logic_vector(g_num_outputs-1 downto 0);
out_locked_o : out std_logic_vector(g_num_outputs-1 downto 0);
out_status_o : out std_logic_vector(4*g_num_outputs-1 downto 0);
slave_i : in t_wishbone_slave_in;
slave_o : out t_wishbone_slave_out;
int_o : out std_logic;
......@@ -355,6 +360,7 @@ begin -- rtl
out_locked_o => open,
slave_i => cnx_master_out(c_SLAVE_SOFTPLL),
slave_o => cnx_master_in(c_SLAVE_SOFTPLL),
clk_rx_status_i => clk_rx_status_i,
int_o => cpu_irq_vec(0),
debug_o => spll_dbg_o);
......
......@@ -445,6 +445,8 @@ architecture rtl of scb_top_bare is
signal nic_rtu_rsp : t_rtu_response;
signal nic_rtu_ack : std_logic;
signal ep_port_status : std_logic_vector(g_num_ports-1 downto 0);
begin
......@@ -630,6 +632,7 @@ begin
pll_cs_n_o => pll_cs_n_o,
pll_sync_n_o => pll_sync_n_o,
pll_reset_n_o => pll_reset_n_o,
clk_rx_status_i => ep_port_status,
spll_dbg_o => spll_dbg_o);
ppsdel_tap_out <= ppsdel_tap_wide_out(8 downto 4);
......@@ -845,6 +848,8 @@ begin
clk_rx_vec(i) <= phys_i(i).rx_clk;
ep_port_status(i) <= ep2tru(i).status;
end generate gen_endpoints_and_phys;
GEN_TIMING: for I in 0 to c_NUM_PORTS generate
......
......@@ -249,7 +249,8 @@ package wrsw_components_pkg is
pll_sck_o : out std_logic;
pll_cs_n_o : out std_logic;
pll_sync_n_o : out std_logic;
pll_reset_n_o : out std_logic);
pll_reset_n_o : out std_logic;
clk_rx_status_i : in std_logic_vector(g_num_rx_clocks-1 downto 0) :=(others=>'0'));
end component;
component chipscope_icon
......
......@@ -254,6 +254,7 @@ package wrsw_top_pkg is
pll_cs_n_o : out std_logic;
pll_sync_n_o : out std_logic;
pll_reset_n_o : out std_logic;
clk_rx_status_i : in std_logic_vector(g_num_rx_clocks-1 downto 0) :=(others=>'0');
spll_dbg_o : out std_logic_vector(5 downto 0));
end component;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment