Commit 0b143861 authored by Tristan Gingold's avatar Tristan Gingold

Merge branch 'dab64x_shared_vme' into 'master'

Dab64x shared vme

See merge request !4
parents b25fbe11 2f199fec
...@@ -110,7 +110,10 @@ entity vme_bus is ...@@ -110,7 +110,10 @@ entity vme_bus is
int_level_i : in std_logic_vector( 2 downto 0); int_level_i : in std_logic_vector( 2 downto 0);
int_vector_i : in std_logic_vector( 7 downto 0); int_vector_i : in std_logic_vector( 7 downto 0);
irq_pending_i : in std_logic; irq_pending_i : in std_logic;
irq_ack_o : out std_logic irq_ack_o : out std_logic;
--Card selection
card_sel_o : out std_logic
); );
end vme_bus; end vme_bus;
...@@ -140,7 +143,6 @@ architecture rtl of vme_bus is ...@@ -140,7 +143,6 @@ architecture rtl of vme_bus is
signal vme_odff_retry_n : std_logic; signal vme_odff_retry_n : std_logic;
signal vme_odff_retry_oe : std_logic; signal vme_odff_retry_oe : std_logic;
signal vme_xam : std_logic_vector(7 downto 0);
signal vme_cycles : unsigned (7 downto 0); signal vme_cycles : unsigned (7 downto 0);
-- If set, dtack is controled directly from ds. -- If set, dtack is controled directly from ds.
...@@ -725,8 +727,6 @@ begin ...@@ -725,8 +727,6 @@ begin
vme_idff_lword_n <= vme_lword_n_i; vme_idff_lword_n <= vme_lword_n_i;
vme_idff_data <= vme_data_i; vme_idff_data <= vme_data_i;
vme_xam <= addr_reg(7 downto 0);
load_addr_reg_phase2 <= '1'; load_addr_reg_phase2 <= '1';
s_wb_start <= '1'; s_wb_start <= '1';
...@@ -1183,6 +1183,8 @@ begin ...@@ -1183,6 +1183,8 @@ begin
vme_dtack_oe_o <= vme_odff_dtack_oe; vme_dtack_oe_o <= vme_odff_dtack_oe;
card_sel_o <= s_card_sel;
process (vme_odff_dtack_n, vme_nsync_ds_n_i, vme_dtack_async_ctrl) process (vme_odff_dtack_n, vme_nsync_ds_n_i, vme_dtack_async_ctrl)
begin begin
if vme_dtack_async_ctrl = '0' then if vme_dtack_async_ctrl = '0' then
......
...@@ -388,7 +388,8 @@ begin ...@@ -388,7 +388,8 @@ begin
int_level_i => s_irq_level, int_level_i => s_irq_level,
int_vector_i => s_irq_vector, int_vector_i => s_irq_vector,
irq_pending_i => s_irq_pending, irq_pending_i => s_irq_pending,
irq_ack_o => s_irq_ack); irq_ack_o => s_irq_ack,
card_sel_o => open);
s_reset_n <= rst_n_i and s_vme_rst_n; s_reset_n <= rst_n_i and s_vme_rst_n;
rst_n_o <= s_reset_n and (not s_module_reset); rst_n_o <= s_reset_n and (not s_module_reset);
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment