Commit eea1d0c2 authored by Peter Jansweijer's avatar Peter Jansweijer

Don't read bmm file into Vivado project (to avoid error).

parent ca3fae43
Pipeline #3367 failed with stage
in 2 minutes and 7 seconds
...@@ -59,9 +59,6 @@ set_multicycle_path 1 -hold -from [get_clocks clk_125m_gtx_odiv2] -to [get_clock ...@@ -59,9 +59,6 @@ set_multicycle_path 1 -hold -from [get_clocks clk_125m_gtx_odiv2] -to [get_clock
set_multicycle_path 3 -setup -start -from [get_clocks "*clk_500m*"] -to [get_clocks clk_125m_gtx_odiv2] set_multicycle_path 3 -setup -start -from [get_clocks "*clk_500m*"] -to [get_clocks clk_125m_gtx_odiv2]
set_multicycle_path 2 -hold -start -from [get_clocks "*clk_500m*"] -to [get_clocks clk_125m_gtx_odiv2] set_multicycle_path 2 -hold -start -from [get_clocks "*clk_500m*"] -to [get_clocks clk_125m_gtx_odiv2]
# Set BMM_INFO_DESIGN property to avoid ERROR during "Write Bitstream"
set_property BMM_INFO_DESIGN spec7_wr_ref_top.bmm [current_design]
# --------------------------------------------------------------------------- # ---------------------------------------------------------------------------
# -- SPI interface to on board DACs # -- SPI interface to on board DACs
# --------------------------------------------------------------------------- # ---------------------------------------------------------------------------
......
...@@ -59,9 +59,6 @@ set_multicycle_path 1 -hold -from [get_clocks clk_125m_gtx_odiv2] -to [get_clock ...@@ -59,9 +59,6 @@ set_multicycle_path 1 -hold -from [get_clocks clk_125m_gtx_odiv2] -to [get_clock
set_multicycle_path 3 -setup -start -from [get_clocks "*clk_500m*"] -to [get_clocks clk_125m_gtx_odiv2] set_multicycle_path 3 -setup -start -from [get_clocks "*clk_500m*"] -to [get_clocks clk_125m_gtx_odiv2]
set_multicycle_path 2 -hold -start -from [get_clocks "*clk_500m*"] -to [get_clocks clk_125m_gtx_odiv2] set_multicycle_path 2 -hold -start -from [get_clocks "*clk_500m*"] -to [get_clocks clk_125m_gtx_odiv2]
# Set BMM_INFO_DESIGN property to avoid ERROR during "Write Bitstream"
set_property BMM_INFO_DESIGN spec7_wr_ref_top.bmm [current_design]
# --------------------------------------------------------------------------- # ---------------------------------------------------------------------------
# -- SPI interface to on board DACs # -- SPI interface to on board DACs
# --------------------------------------------------------------------------- # ---------------------------------------------------------------------------
......
...@@ -59,9 +59,6 @@ set_multicycle_path 1 -hold -from [get_clocks clk_125m_gtx_odiv2] -to [get_clock ...@@ -59,9 +59,6 @@ set_multicycle_path 1 -hold -from [get_clocks clk_125m_gtx_odiv2] -to [get_clock
set_multicycle_path 3 -setup -start -from [get_clocks "*clk_500m*"] -to [get_clocks clk_125m_gtx_odiv2] set_multicycle_path 3 -setup -start -from [get_clocks "*clk_500m*"] -to [get_clocks clk_125m_gtx_odiv2]
set_multicycle_path 2 -hold -start -from [get_clocks "*clk_500m*"] -to [get_clocks clk_125m_gtx_odiv2] set_multicycle_path 2 -hold -start -from [get_clocks "*clk_500m*"] -to [get_clocks clk_125m_gtx_odiv2]
# Set BMM_INFO_DESIGN property to avoid ERROR during "Write Bitstream"
set_property BMM_INFO_DESIGN spec7_wr_ref_top.bmm [current_design]
# --------------------------------------------------------------------------- # ---------------------------------------------------------------------------
# -- SPI interface to on board DACs # -- SPI interface to on board DACs
# --------------------------------------------------------------------------- # ---------------------------------------------------------------------------
......
...@@ -59,9 +59,6 @@ set_multicycle_path 1 -hold -from [get_clocks clk_125m_gtx_odiv2] -to [get_clock ...@@ -59,9 +59,6 @@ set_multicycle_path 1 -hold -from [get_clocks clk_125m_gtx_odiv2] -to [get_clock
set_multicycle_path 3 -setup -start -from [get_clocks "*clk_500m*"] -to [get_clocks clk_125m_gtx_odiv2] set_multicycle_path 3 -setup -start -from [get_clocks "*clk_500m*"] -to [get_clocks clk_125m_gtx_odiv2]
set_multicycle_path 2 -hold -start -from [get_clocks "*clk_500m*"] -to [get_clocks clk_125m_gtx_odiv2] set_multicycle_path 2 -hold -start -from [get_clocks "*clk_500m*"] -to [get_clocks clk_125m_gtx_odiv2]
# Set BMM_INFO_DESIGN property to avoid ERROR during "Write Bitstream"
set_property BMM_INFO_DESIGN spec7_wr_ref_top.bmm [current_design]
# --------------------------------------------------------------------------- # ---------------------------------------------------------------------------
# -- SPI interface to on board DACs # -- SPI interface to on board DACs
# --------------------------------------------------------------------------- # ---------------------------------------------------------------------------
......
...@@ -2,9 +2,6 @@ ...@@ -2,9 +2,6 @@
# -- Clocks/resets # -- Clocks/resets
# --------------------------------------------------------------------------- # ---------------------------------------------------------------------------
# Set BMM_INFO_DESIGN property to avoid ERROR during "Write Bitstream"
#set_property BMM_INFO_DESIGN spec7_wr_ref_top.bmm [current_design]
# --------------------------------------------------------------------------- # ---------------------------------------------------------------------------
# -- PCIe # -- PCIe
# --------------------------------------------------------------------------- # ---------------------------------------------------------------------------
......
...@@ -135,7 +135,7 @@ foreach line $content { ...@@ -135,7 +135,7 @@ foreach line $content {
set use_bmm true set use_bmm true
set bmm_file [pwd]/$line set bmm_file [pwd]/$line
set bmm_bd ${proj_name}_bd.bmm set bmm_bd ${proj_name}_bd.bmm
add_files -norecurse $bmm_file #add_files -norecurse $bmm_file
} }
} }
......
...@@ -5,7 +5,7 @@ ...@@ -5,7 +5,7 @@
# Author : Peter Jansweijer <peterj@nikhef.nl> # Author : Peter Jansweijer <peterj@nikhef.nl>
# Company : Nikhef # Company : Nikhef
# Created : 2018-01-24 # Created : 2018-01-24
# Last update: 2021-06-01 # Last update: 2022-03-22
# Platform : FPGA-generics # Platform : FPGA-generics
# Standard : VHDL # Standard : VHDL
#----------------------------------------------------------------------------- #-----------------------------------------------------------------------------
...@@ -35,10 +35,8 @@ ...@@ -35,10 +35,8 @@
#----------------------------------------------------------------------------- #-----------------------------------------------------------------------------
#open_run impl_2 #open_run impl_2
set project_bmm_files [get_files *.bmm]
set BB FALSE set BB FALSE
# foreach fn $project_bmm_files { # foreach fn $project_bmm_files {
# set temp [file rootname $fn] # set temp [file rootname $fn]
# set bd_fn ${temp}_bd.bmm # set bd_fn ${temp}_bd.bmm
......
...@@ -5,7 +5,7 @@ ...@@ -5,7 +5,7 @@
# Author : Peter Jansweijer <peterj@nikhef.nl> # Author : Peter Jansweijer <peterj@nikhef.nl>
# Company : Nikhef # Company : Nikhef
# Created : 2018-01-24 # Created : 2018-01-24
# Last update: 2021-06-01 # Last update: 2022-03-22
# Platform : FPGA-generics # Platform : FPGA-generics
# Standard : VHDL # Standard : VHDL
#----------------------------------------------------------------------------- #-----------------------------------------------------------------------------
...@@ -34,7 +34,6 @@ ...@@ -34,7 +34,6 @@
# #
#----------------------------------------------------------------------------- #-----------------------------------------------------------------------------
set project_bmm_files [get_files *.bmm]
set BB FALSE set BB FALSE
set mmi_file ${proj_name}.mmi set mmi_file ${proj_name}.mmi
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment