Skip to content
Snippets Groups Projects
Commit 4f84b3e6 authored by Wesley W. Terpstra's avatar Wesley W. Terpstra
Browse files

altera dpram: quell modelsim warning

parent 916086ce
No related merge requests found
......@@ -115,7 +115,7 @@ architecture syn of generic_async_fifo is
q : out std_logic_vector (g_data_width-1 downto 0);
wrreq : in std_logic;
data : in std_logic_vector (g_data_width-1 downto 0);
wrusedw : out std_logic_vector (f_log2_size(g_size)-1downto 0);
wrusedw : out std_logic_vector (f_log2_size(g_size)-1 downto 0);
rdusedw : out std_logic_vector (f_log2_size(g_size)-1 downto 0)
);
end component;
......
......@@ -79,6 +79,7 @@ architecture syn of generic_dpram is
return "DONT_CARE";
else
assert (false) report "generic_dpram: g_addr_conflict_resolution must be: read_first, write_first, dont_care" severity failure;
return "DONT_CARE";
end if;
end f_sameport_order;
......@@ -92,6 +93,7 @@ architecture syn of generic_dpram is
return "DONT_CARE";
else
assert (false) report "generic_dpram: g_addr_conflict_resolution must be: read_first, write_first, dont_care" severity failure;
return "DONT_CARE";
end if;
end f_diffport_order;
......
......@@ -69,6 +69,7 @@ architecture syn of generic_simple_dpram is
return "DONT_CARE";
else
assert (false) report "generic_simple_dpram: g_addr_conflict_resolution must be: read_first, write_first, dont_care" severity failure;
return "DONT_CARE";
end if;
end f_diffport_order;
......
......@@ -63,6 +63,7 @@ architecture syn of generic_spram is
return "DONT_CARE";
else
assert (false) report "generic_spram: g_addr_conflict_resolution must be: read_first, write_first, dont_care" severity failure;
return "DONT_CARE";
end if;
end f_sameport_order;
......
0% or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment