diff --git a/modules/genrams/altera/generic_async_fifo.vhd b/modules/genrams/altera/generic_async_fifo.vhd
index 33508b55ac10ea13dcbd16d20a4f2d1c42fdb6d8..b5abde3421e6a04e9d8fae3bbf1327aa29cadf94 100644
--- a/modules/genrams/altera/generic_async_fifo.vhd
+++ b/modules/genrams/altera/generic_async_fifo.vhd
@@ -115,7 +115,7 @@ architecture syn of generic_async_fifo is
       q       : out std_logic_vector (g_data_width-1 downto 0);
       wrreq   : in  std_logic;
       data    : in  std_logic_vector (g_data_width-1 downto 0);
-      wrusedw : out std_logic_vector (f_log2_size(g_size)-1downto 0);
+      wrusedw : out std_logic_vector (f_log2_size(g_size)-1 downto 0);
       rdusedw : out std_logic_vector (f_log2_size(g_size)-1 downto 0)
       );
   end component;
diff --git a/modules/genrams/altera/generic_dpram.vhd b/modules/genrams/altera/generic_dpram.vhd
index 294015358f8fe58ca72dccc2dbad25d72948e222..6067baf37c6b539e2ef8a2bb03efb4994e6ff1d6 100644
--- a/modules/genrams/altera/generic_dpram.vhd
+++ b/modules/genrams/altera/generic_dpram.vhd
@@ -79,6 +79,7 @@ architecture syn of generic_dpram is
       return "DONT_CARE";
     else
       assert (false) report "generic_dpram: g_addr_conflict_resolution must be: read_first, write_first, dont_care" severity failure;
+      return "DONT_CARE";
     end if;
   end f_sameport_order;
   
@@ -92,6 +93,7 @@ architecture syn of generic_dpram is
       return "DONT_CARE";
     else
       assert (false) report "generic_dpram: g_addr_conflict_resolution must be: read_first, write_first, dont_care" severity failure;
+      return "DONT_CARE";
     end if;
   end f_diffport_order;
   
diff --git a/modules/genrams/altera/generic_simple_dpram.vhd b/modules/genrams/altera/generic_simple_dpram.vhd
index f89efa136e4f68c9ec0efe9f69848c5e55d65621..69f89c474c396598c368fc8b34b4e265a7b41c38 100644
--- a/modules/genrams/altera/generic_simple_dpram.vhd
+++ b/modules/genrams/altera/generic_simple_dpram.vhd
@@ -69,6 +69,7 @@ architecture syn of generic_simple_dpram is
       return "DONT_CARE";
     else
       assert (false) report "generic_simple_dpram: g_addr_conflict_resolution must be: read_first, write_first, dont_care" severity failure;
+      return "DONT_CARE";
     end if;
   end f_diffport_order;
   
diff --git a/modules/genrams/altera/generic_spram.vhd b/modules/genrams/altera/generic_spram.vhd
index 1df90af25bd52ff17a87a290d376a15a90daa7a7..a6b4dcf4c257e4b7578a597cb4ba36b55c63af7e 100644
--- a/modules/genrams/altera/generic_spram.vhd
+++ b/modules/genrams/altera/generic_spram.vhd
@@ -63,6 +63,7 @@ architecture syn of generic_spram is
       return "DONT_CARE";
     else
       assert (false) report "generic_spram: g_addr_conflict_resolution must be: read_first, write_first, dont_care" severity failure;
+      return "DONT_CARE";
     end if;
   end f_sameport_order;