Commit e502f504 authored by Tom Levens's avatar Tom Levens

V1.4.1 to test build chain

parent 2d7c18d9
......@@ -42,7 +42,7 @@ package FfpgPkg is
constant VersionMajor: natural := 1;
constant VersionMinor: natural := 4;
constant VersionRevision: natural := 0;
constant VersionRevision: natural := 1;
type t_Ad5600Interface is record
FrameSynchronization_n: std_logic;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment