Skip to content
Projects
Groups
Snippets
Help
Loading...
Sign in
Toggle navigation
F
FMC DEL 1ns 2cha
Project
Project
Details
Activity
Cycle Analytics
Repository
Repository
Files
Commits
Branches
Tags
Contributors
Graph
Compare
Charts
Issues
9
Issues
9
List
Board
Labels
Milestones
Merge Requests
0
Merge Requests
0
Wiki
Wiki
image/svg+xml
Discourse
Discourse
Members
Members
Collapse sidebar
Close sidebar
Activity
Graph
Charts
Create a new issue
Commits
Issue Boards
Open sidebar
Projects
FMC DEL 1ns 2cha
Commits
bd9e5c2b
Commit
bd9e5c2b
authored
Jul 28, 2016
by
Jan Pospisil
Browse files
Options
Browse Files
Download
Email Patches
Plain Diff
clock domain naming clean up
parent
7224bfda
Hide whitespace changes
Inline
Side-by-side
Showing
7 changed files
with
78 additions
and
77 deletions
+78
-77
ClkRfDomain.vhd
hdl/ffpg/rtl/DelayedPulseGenerator/ClkRfDomain.vhd
+2
-2
DelayedPulseGenerator.vhd
hdl/ffpg/rtl/DelayedPulseGenerator/DelayedPulseGenerator.vhd
+42
-41
FfpgSlave.vhd
hdl/ffpg/rtl/FfpgSlave.vhd
+10
-10
WbSlaveWrapper.vhd
hdl/ffpg/rtl/WbSlaveWrapper.vhd
+2
-2
make
hdl/ffpg/sim/testbench/make
+1
-1
ffpg_csr.wb
hdl/ffpg/wb_gen/ffpg_csr.wb
+4
-4
SvecFfpg.xise
hdl/svec/syn/SvecFfpg.xise
+17
-17
No files found.
hdl/ffpg/rtl/DelayedPulseGenerator/
RfClk
Domain.vhd
→
hdl/ffpg/rtl/DelayedPulseGenerator/
ClkRf
Domain.vhd
View file @
bd9e5c2b
...
...
@@ -4,7 +4,7 @@ use ieee.numeric_std.all;
use
work
.
FfpgPkg
.
all
;
entity
RfClk
Domain
is
entity
ClkRf
Domain
is
port
(
-- main signals
Clk_ik
:
in
std_logic
;
...
...
@@ -28,7 +28,7 @@ entity RfClkDomain is
);
end
entity
;
architecture
syn
of
RfClk
Domain
is
architecture
syn
of
ClkRf
Domain
is
signal
StreamReset
:
std_logic
;
signal
StreamResetOrReset
:
std_logic
;
...
...
hdl/ffpg/rtl/DelayedPulseGenerator/DelayedPulseGenerator.vhd
View file @
bd9e5c2b
...
...
@@ -25,8 +25,8 @@ entity DelayedPulseGenerator is
Mode_i
:
in
t_Mode
;
ModeLoad_i
:
in
std_logic
;
Running_o
:
out
std_logic
;
-- generation (FMC), synchronous to
SerialClock
_ik
SerialClock
_ik
:
in
std_logic
;
-- generation (FMC), synchronous to
ClkRf
_ik
ClkRf
_ik
:
in
std_logic
;
SetStream_o
:
out
std_logic
;
ResetStream_o
:
out
std_logic
);
...
...
@@ -34,29 +34,30 @@ end entity;
architecture
syn
of
DelayedPulseGenerator
is
signal
Overflow_b_slv
,
SerialClockOverflow
_b_slv
:
std_logic_vector
(
Overflow_ib16
'range
);
signal
TriggerLatency_b_slv
,
SerialClockTriggerLatency
_b_slv
:
std_logic_vector
(
TriggerLatency_ib16
'range
);
signal
Mode
In_slv
,
ModeOut
_slv
:
std_logic_vector
(
1
downto
0
);
signal
Overflow_b_slv
,
OverflowRf
_b_slv
:
std_logic_vector
(
Overflow_ib16
'range
);
signal
TriggerLatency_b_slv
,
TriggerLatencyRf
_b_slv
:
std_logic_vector
(
TriggerLatency_ib16
'range
);
signal
Mode
_slv
,
ModeRf
_slv
:
std_logic_vector
(
1
downto
0
);
signal
SerialClockReset_r
:
std_logic
;
signal
SerialClockTrigger
:
std_logic
;
signal
SerialClockRunning
:
std_logic
;
signal
SerialClockOverflow_b
:
unsigned
(
Overflow_ib16
'range
);
signal
SerialClockTriggerLatency_b
:
unsigned
(
TriggerLatency_ib16
'range
);
signal
SerialClockMode
:
t_Mode
;
signal
SerialClockModeLoad
:
std_logic
;
-- ClkRf clock domain
signal
ResetRf_r
:
std_logic
;
signal
TriggerRf
:
std_logic
;
signal
RunningRf
:
std_logic
;
signal
OverflowRf_b
:
unsigned
(
Overflow_ib16
'range
);
signal
TriggerLatencyRf_b
:
unsigned
(
TriggerLatency_ib16
'range
);
signal
ModeRf
:
t_Mode
;
signal
ModeLoadRf
:
std_logic
;
begin
----------------------------------
-- CDC syncers to the
SerialClock
_ik clock domain
-- CDC syncers to the
ClkRf
_ik clock domain
----------------------------------
cResetSyncer
:
entity
work
.
ResetSyncer
(
syn
)
port
map
(
Clk_ik
=>
SerialClock
_ik
,
Clk_ik
=>
ClkRf
_ik
,
Reset_ira
=>
Reset_ir
,
Reset_or
=>
SerialClockReset
_r
Reset_or
=>
ResetRf
_r
);
cTriggerSyncer
:
entity
work
.
Delay
(
syn
)
...
...
@@ -66,73 +67,73 @@ begin
g_AsyncRegUsed
=>
"TRUE"
)
port
map
(
Clk_ik
=>
SerialClock
_ik
,
Clk_ik
=>
ClkRf
_ik
,
Data_ib
(
0
)
=>
Trigger_i
,
Data_ob
(
0
)
=>
SerialClockTrigger
Data_ob
(
0
)
=>
TriggerRf
);
Overflow_b_slv
<=
std_logic_vector
(
Overflow_ib16
);
cOverflowSyncer
:
entity
work
.
RegSyncer
(
syn
)
port
map
(
ClkIn_ik
=>
Clk_ik
,
ClkOut_ik
=>
SerialClock
_ik
,
ClkOut_ik
=>
ClkRf
_ik
,
Data_ib
=>
Overflow_b_slv
,
Load_i
=>
OverflowLoad_i
,
Data_ob
=>
SerialClockOverflow
_b_slv
,
Data_ob
=>
OverflowRf
_b_slv
,
Load_o
=>
open
);
SerialClockOverflow_b
<=
unsigned
(
SerialClockOverflow
_b_slv
);
OverflowRf_b
<=
unsigned
(
OverflowRf
_b_slv
);
TriggerLatency_b_slv
<=
std_logic_vector
(
TriggerLatency_ib16
);
cTriggerLatencySyncer
:
entity
work
.
RegSyncer
(
syn
)
port
map
(
ClkIn_ik
=>
Clk_ik
,
ClkOut_ik
=>
SerialClock
_ik
,
ClkOut_ik
=>
ClkRf
_ik
,
Data_ib
=>
TriggerLatency_b_slv
,
Load_i
=>
TriggerLatencyLoad_i
,
Data_ob
=>
SerialClockTriggerLatency
_b_slv
,
Data_ob
=>
TriggerLatencyRf
_b_slv
,
Load_o
=>
open
);
SerialClockTriggerLatency_b
<=
unsigned
(
SerialClockTriggerLatency
_b_slv
);
TriggerLatencyRf_b
<=
unsigned
(
TriggerLatencyRf
_b_slv
);
Mode
In
_slv
<=
f_ModeToSlv
(
Mode_i
);
Mode_slv
<=
f_ModeToSlv
(
Mode_i
);
cModeSyncer
:
entity
work
.
RegSyncer
(
syn
)
port
map
(
ClkIn_ik
=>
Clk_ik
,
ClkOut_ik
=>
SerialClock
_ik
,
Data_ib
=>
Mode
In
_slv
,
ClkOut_ik
=>
ClkRf
_ik
,
Data_ib
=>
Mode_slv
,
Load_i
=>
ModeLoad_i
,
Data_ob
=>
Mode
Out
_slv
,
Load_o
=>
SerialClockModeLoad
Data_ob
=>
Mode
Rf
_slv
,
Load_o
=>
ModeLoadRf
);
SerialClockMode
<=
f_SlvToMode
(
ModeOut
_slv
);
ModeRf
<=
f_SlvToMode
(
ModeRf
_slv
);
----------------------------------
-- main core in
SerialClock
_ik clock domain
-- main core in
ClkRf
_ik clock domain
----------------------------------
c
RfClkDomain
:
entity
work
.
RfClk
Domain
(
syn
)
c
ClkRfDomain
:
entity
work
.
ClkRf
Domain
(
syn
)
port
map
(
Clk_ik
=>
SerialClock
_ik
,
Reset_ir
=>
SerialClockReset
_r
,
Overflow_ib16
=>
SerialClockOverflow
_b
,
TriggerLatency_ib16
=>
SerialClockTriggerLatency
_b
,
Mode_i
=>
SerialClockMode
,
ModeLoad_i
=>
SerialClockModeLoad
,
Clk_ik
=>
ClkRf
_ik
,
Reset_ir
=>
ResetRf
_r
,
Overflow_ib16
=>
OverflowRf
_b
,
TriggerLatency_ib16
=>
TriggerLatencyRf
_b
,
Mode_i
=>
ModeRf
,
ModeLoad_i
=>
ModeLoadRf
,
SetMemAddress_ob11
=>
SetMemAddress_ob11
,
SetMemData_ib32
=>
SetMemData_ib32
,
SetMemReadStrobe_o
=>
SetMemReadStrobe_o
,
ResMemAddress_ob11
=>
ResMemAddress_ob11
,
ResMemData_ib32
=>
ResMemData_ib32
,
ResMemReadStrobe_o
=>
ResMemReadStrobe_o
,
Running_o
=>
SerialClockRunning
,
Trigger_i
=>
SerialClockTrigger
,
Running_o
=>
RunningRf
,
Trigger_i
=>
TriggerRf
,
SetStream_o
=>
SetStream_o
,
ResetStream_o
=>
ResetStream_o
);
----------------------------------
-- CDC syncer from the
SerialClock
_ik clock domain
-- CDC syncer from the
ClkRf
_ik clock domain
----------------------------------
cRunningSyncer
:
entity
work
.
Delay
(
syn
)
...
...
@@ -143,7 +144,7 @@ begin
)
port
map
(
Clk_ik
=>
Clk_ik
,
Data_ib
(
0
)
=>
SerialClockRunning
,
Data_ib
(
0
)
=>
RunningRf
,
Data_ob
(
0
)
=>
Running_o
);
...
...
hdl/ffpg/rtl/FfpgSlave.vhd
View file @
bd9e5c2b
...
...
@@ -44,8 +44,8 @@ end entity;
architecture
syn
of
FfpgSlave
is
signal
SerialStreamCloc
k
:
std_logic
;
signal
SerialClockTrigger
:
std_logic
;
signal
ClkRf_
k
:
std_logic
;
signal
TriggerRf
:
std_logic
;
signal
Ch1SetMemAddress_b11
:
unsigned
(
10
downto
0
);
signal
Ch1SetMemData_b32
:
unsigned
(
31
downto
0
);
...
...
@@ -68,7 +68,7 @@ architecture syn of FfpgSlave is
begin
SerialStreamCloc
k
<=
ClkIn0_ik
;
ClkRf_
k
<=
ClkIn0_ik
;
----------------------------------
-- Wishbone slave
...
...
@@ -79,7 +79,7 @@ begin
Reset_ir
=>
Reset_ir
,
Wb_i
=>
Wb_i
,
Wb_o
=>
Wb_o
,
SerialStreamClock_i
=>
SerialStreamCloc
k
,
ClkRf_ik
=>
ClkRf_
k
,
Ch1SetMemAddress_ib11
=>
Ch1SetMemAddress_b11
,
Ch1SetMemData_ob32
=>
Ch1SetMemData_b32
,
Ch1SetMemReadStrobe_i
=>
Ch1SetMemReadStrobe
,
...
...
@@ -171,7 +171,7 @@ begin
Mode_i
=>
f_SlvToMode
(
WbRegsOutput
.
control_ch1_mode_o
),
ModeLoad_i
=>
WbRegsOutput
.
control_ch1_mode_load_o
,
Running_o
=>
WbRegsInput
.
status_channel_1_running_i
,
SerialClock_ik
=>
SerialStreamCloc
k
,
ClkRf_ik
=>
ClkRf_
k
,
SetStream_o
=>
Ch1Set
,
ResetStream_o
=>
Ch1Res_o
);
...
...
@@ -195,7 +195,7 @@ begin
Mode_i
=>
f_SlvToMode
(
WbRegsOutput
.
control_ch2_mode_o
),
ModeLoad_i
=>
WbRegsOutput
.
control_ch2_mode_load_o
,
Running_o
=>
WbRegsInput
.
status_channel_2_running_i
,
SerialClock_ik
=>
SerialStreamCloc
k
,
ClkRf_ik
=>
ClkRf_
k
,
SetStream_o
=>
Ch2Set
,
ResetStream_o
=>
Ch2Res_o
);
...
...
@@ -219,9 +219,9 @@ begin
g_AsyncRegUsed
=>
"TRUE"
)
port
map
(
Clk_ik
=>
SerialStreamCloc
k
,
Clk_ik
=>
ClkRf_
k
,
Data_ib
(
0
)
=>
Trigger_i
,
Data_ob
(
0
)
=>
SerialClockTrigger
Data_ob
(
0
)
=>
TriggerRf
);
-- OUT1
...
...
@@ -231,7 +231,7 @@ begin
LedSignal_b
(
2
)
<=
Ch2Set
;
-- TRIG IN
LedSignal_b
(
3
)
<=
SerialClockTrigger
;
LedSignal_b
(
3
)
<=
TriggerRf
;
-- CLK IN
LedSignal_b
(
4
)
<=
'0'
;
...
...
@@ -242,7 +242,7 @@ begin
g_Ticks
=>
5
_
000
_
000
)
port
map
(
Clk_ik
=>
SerialStreamCloc
k
,
Clk_ik
=>
ClkRf_
k
,
Reset_ir
=>
'0'
,
Test_i
=>
'0'
,
Signal_ib
=>
LedSignal_b
,
...
...
hdl/ffpg/rtl/WbSlaveWrapper.vhd
View file @
bd9e5c2b
...
...
@@ -12,7 +12,7 @@ entity WbSlaveWrapper is
Reset_ir
:
in
std_logic
;
Wb_i
:
in
t_wishbone_slave_in
;
Wb_o
:
out
t_wishbone_slave_out
;
SerialStreamClock_i
:
in
std_logic
;
ClkRf_ik
:
in
std_logic
;
Ch1SetMemAddress_ib11
:
in
unsigned
(
10
downto
0
);
Ch1SetMemData_ob32
:
out
unsigned
(
31
downto
0
);
Ch1SetMemReadStrobe_i
:
in
std_logic
;
...
...
@@ -81,7 +81,7 @@ begin
wb_we_i
=>
Wb_i
.
we
,
wb_ack_o
=>
Wb_o
.
ack
,
wb_stall_o
=>
Wb_o
.
stall
,
serial_stream_clk_ik
=>
SerialStreamClock_i
,
clk_rf_ik
=>
ClkRf_ik
,
ffpg_ch1_set_mem_addr_i
=>
std_logic_vector
(
Ch1SetMemAddress_ib11
),
unsigned
(
ffpg_ch1_set_mem_data_o
)
=>
Ch1SetMemData_ob32
,
ffpg_ch1_set_mem_rd_i
=>
Ch1SetMemReadStrobe_i
,
...
...
hdl/ffpg/sim/testbench/make
View file @
bd9e5c2b
...
...
@@ -49,7 +49,7 @@ vcom -2008 -reportprogress 300 -work work ../../rtl/WbSlaveWrapper.vhd
vcom -2008 -reportprogress 300 -work work ../../rtl/DelayController.vhd
vcom -2008 -reportprogress 300 -work work ../../rtl/DacsController.vhd
vcom -2008 -reportprogress 300 -work work ../../rtl/DelayedPulseGenerator/Fsm.vhd
vcom -2008 -reportprogress 300 -work work ../../rtl/DelayedPulseGenerator/
RfClk
Domain.vhd
vcom -2008 -reportprogress 300 -work work ../../rtl/DelayedPulseGenerator/
ClkRf
Domain.vhd
vcom -2008 -reportprogress 300 -work work ../../rtl/DelayedPulseGenerator/DelayedPulseGenerator.vhd
vcom -2008 -reportprogress 300 -work work ../../rtl/FfpgSlave.vhd
...
...
hdl/ffpg/wb_gen/ffpg_csr.wb
View file @
bd9e5c2b
...
...
@@ -314,7 +314,7 @@ peripheral {
prefix = "ch1_set_mem";
width = 32;
size = 2048;
clock = "
serial_stream_clk
_ik";
clock = "
clk_rf
_ik";
access_bus = READ_WRITE;
access_dev = READ_ONLY;
};
...
...
@@ -324,7 +324,7 @@ peripheral {
prefix = "ch1_res_mem";
width = 32;
size = 2048;
clock = "
serial_stream_clk
_ik";
clock = "
clk_rf
_ik";
access_bus = READ_WRITE;
access_dev = READ_ONLY;
};
...
...
@@ -334,7 +334,7 @@ peripheral {
prefix = "ch2_set_mem";
width = 32;
size = 2048;
clock = "
serial_stream_clk
_ik";
clock = "
clk_rf
_ik";
access_bus = READ_WRITE;
access_dev = READ_ONLY;
};
...
...
@@ -344,7 +344,7 @@ peripheral {
prefix = "ch2_res_mem";
width = 32;
size = 2048;
clock = "
serial_stream_clk
_ik";
clock = "
clk_rf
_ik";
access_bus = READ_WRITE;
access_dev = READ_ONLY;
};
...
...
hdl/svec/syn/SvecFfpg.xise
View file @
bd9e5c2b
...
...
@@ -385,15 +385,15 @@
</file>
<file
xil_pn:name=
"../../ffpg/rtl/FfpgSlave.vhd"
xil_pn:type=
"FILE_VHDL"
>
<association
xil_pn:name=
"BehavioralSimulation"
xil_pn:seqID=
"3"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"6
4
"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"6
5
"
/>
</file>
<file
xil_pn:name=
"../../ffpg/rtl/DacsController.vhd"
xil_pn:type=
"FILE_VHDL"
>
<association
xil_pn:name=
"BehavioralSimulation"
xil_pn:seqID=
"4"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"5
7
"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"5
8
"
/>
</file>
<file
xil_pn:name=
"../../ffpg/rtl/DelayController.vhd"
xil_pn:type=
"FILE_VHDL"
>
<association
xil_pn:name=
"BehavioralSimulation"
xil_pn:seqID=
"5"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"5
6
"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"5
7
"
/>
</file>
<file
xil_pn:name=
"../../ffpg/rtl/WbSlaveWrapper.vhd"
xil_pn:type=
"FILE_VHDL"
>
<association
xil_pn:name=
"BehavioralSimulation"
xil_pn:seqID=
"6"
/>
...
...
@@ -401,13 +401,13 @@
</file>
<file
xil_pn:name=
"../../ffpg/rtl/DelayedPulseGenerator/DelayedPulseGenerator.vhd"
xil_pn:type=
"FILE_VHDL"
>
<association
xil_pn:name=
"BehavioralSimulation"
xil_pn:seqID=
"7"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"5
5
"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"5
6
"
/>
</file>
<file
xil_pn:name=
"../../ffpg/rtl/DelayedPulseGenerator/Fsm.vhd"
xil_pn:type=
"FILE_VHDL"
>
<association
xil_pn:name=
"BehavioralSimulation"
xil_pn:seqID=
"8"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"21"
/>
</file>
<file
xil_pn:name=
"../../ffpg/rtl/DelayedPulseGenerator/
RfClk
Domain.vhd"
xil_pn:type=
"FILE_VHDL"
>
<file
xil_pn:name=
"../../ffpg/rtl/DelayedPulseGenerator/
ClkRf
Domain.vhd"
xil_pn:type=
"FILE_VHDL"
>
<association
xil_pn:name=
"BehavioralSimulation"
xil_pn:seqID=
"9"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"44"
/>
</file>
...
...
@@ -481,7 +481,7 @@
</file>
<file
xil_pn:name=
"../../ip_cores/general-cores/modules/wishbone/wb_onewire_master/xwb_onewire_master.vhd"
xil_pn:type=
"FILE_VHDL"
>
<association
xil_pn:name=
"BehavioralSimulation"
xil_pn:seqID=
"27"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"6
0
"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"6
1
"
/>
</file>
<file
xil_pn:name=
"../../ip_cores/general-cores/modules/wishbone/wb_slave_adapter/wb_slave_adapter.vhd"
xil_pn:type=
"FILE_VHDL"
>
<association
xil_pn:name=
"BehavioralSimulation"
xil_pn:seqID=
"28"
/>
...
...
@@ -493,7 +493,7 @@
</file>
<file
xil_pn:name=
"../../ip_cores/general-cores/modules/wishbone/wb_spi/xwb_spi.vhd"
xil_pn:type=
"FILE_VHDL"
>
<association
xil_pn:name=
"BehavioralSimulation"
xil_pn:seqID=
"30"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"
59
"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"
60
"
/>
</file>
<file
xil_pn:name=
"../../ip_cores/general-cores/modules/wishbone/wb_spi/spi_clgen.v"
xil_pn:type=
"FILE_VERILOG"
>
<association
xil_pn:name=
"BehavioralSimulation"
xil_pn:seqID=
"31"
/>
...
...
@@ -517,7 +517,7 @@
</file>
<file
xil_pn:name=
"../../ip_cores/general-cores/modules/wishbone/wb_crossbar/xwb_sdb_crossbar.vhd"
xil_pn:type=
"FILE_VHDL"
>
<association
xil_pn:name=
"BehavioralSimulation"
xil_pn:seqID=
"38"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"6
2
"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"6
3
"
/>
</file>
<file
xil_pn:name=
"../../ip_cores/general-cores/modules/genrams/genram_pkg.vhd"
xil_pn:type=
"FILE_VHDL"
>
<association
xil_pn:name=
"BehavioralSimulation"
xil_pn:seqID=
"44"
/>
...
...
@@ -549,11 +549,11 @@
</file>
<file
xil_pn:name=
"../../ip_cores/vme64x-core/hdl/vme64x-core/rtl/xvme64x_core.vhd"
xil_pn:type=
"FILE_VHDL"
>
<association
xil_pn:name=
"BehavioralSimulation"
xil_pn:seqID=
"60"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"6
6
"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"6
7
"
/>
</file>
<file
xil_pn:name=
"../../ip_cores/vme64x-core/hdl/vme64x-core/rtl/VME64xCore_Top.vhd"
xil_pn:type=
"FILE_VHDL"
>
<association
xil_pn:name=
"BehavioralSimulation"
xil_pn:seqID=
"61"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"5
8
"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"5
9
"
/>
</file>
<file
xil_pn:name=
"../../ip_cores/vme64x-core/hdl/vme64x-core/rtl/VME_bus.vhd"
xil_pn:type=
"FILE_VHDL"
>
<association
xil_pn:name=
"BehavioralSimulation"
xil_pn:seqID=
"62"
/>
...
...
@@ -613,11 +613,11 @@
</file>
<file
xil_pn:name=
"../../ip_cores/general-cores/modules/wishbone/wb_clock_crossing/xwb_clock_crossing.vhd"
xil_pn:type=
"FILE_VHDL"
>
<association
xil_pn:name=
"BehavioralSimulation"
xil_pn:seqID=
"76"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"6
8
"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"6
9
"
/>
</file>
<file
xil_pn:name=
"../../ip_cores/general-cores/modules/genrams/generic/generic_async_fifo.vhd"
xil_pn:type=
"FILE_VHDL"
>
<association
xil_pn:name=
"BehavioralSimulation"
xil_pn:seqID=
"77"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"6
3
"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"6
4
"
/>
</file>
<file
xil_pn:name=
"../../ip_cores/general-cores/modules/genrams/generic/inferred_async_fifo.vhd"
xil_pn:type=
"FILE_VHDL"
>
<association
xil_pn:name=
"BehavioralSimulation"
xil_pn:seqID=
"78"
/>
...
...
@@ -633,11 +633,11 @@
</file>
<file
xil_pn:name=
"../../ip_cores/general-cores/modules/wishbone/wb_i2c_master/xwb_i2c_master.vhd"
xil_pn:type=
"FILE_VHDL"
>
<association
xil_pn:name=
"BehavioralSimulation"
xil_pn:seqID=
"81"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"6
7
"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"6
8
"
/>
</file>
<file
xil_pn:name=
"../../ip_cores/general-cores/modules/wishbone/wb_i2c_master/wb_i2c_master.vhd"
xil_pn:type=
"FILE_VHDL"
>
<association
xil_pn:name=
"BehavioralSimulation"
xil_pn:seqID=
"82"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"6
1
"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"6
2
"
/>
</file>
<file
xil_pn:name=
"../../ip_cores/general-cores/modules/wishbone/wb_i2c_master/i2c_master_top.vhd"
xil_pn:type=
"FILE_VHDL"
>
<association
xil_pn:name=
"BehavioralSimulation"
xil_pn:seqID=
"83"
/>
...
...
@@ -653,15 +653,15 @@
</file>
<file
xil_pn:name=
"../rtl/carrier_csr.vhd"
xil_pn:type=
"FILE_VHDL"
>
<association
xil_pn:name=
"BehavioralSimulation"
xil_pn:seqID=
"86"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"6
5
"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"6
6
"
/>
</file>
<file
xil_pn:name=
"../../ffpg/rtl/SlowToggle.vhd"
xil_pn:type=
"FILE_VHDL"
>
<association
xil_pn:name=
"BehavioralSimulation"
xil_pn:seqID=
"87"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"
70
"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"
55
"
/>
</file>
<file
xil_pn:name=
"../../ip_cores/general-cores/modules/common/gc_bicolor_led_ctrl.vhd"
xil_pn:type=
"FILE_VHDL"
>
<association
xil_pn:name=
"BehavioralSimulation"
xil_pn:seqID=
"88"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"
69
"
/>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"
70
"
/>
</file>
<file
xil_pn:name=
"SvecFfpg.ucf"
xil_pn:type=
"FILE_UCF"
>
<association
xil_pn:name=
"Implementation"
xil_pn:seqID=
"0"
/>
...
...
Write
Preview
Markdown
is supported
0%
Try again
or
attach a new file
Attach a file
Cancel
You are about to add
0
people
to the discussion. Proceed with caution.
Finish editing this message first!
Cancel
Please
register
or
sign in
to comment