Commit 3db419eb authored by Jan Pospisil's avatar Jan Pospisil

fixed pulseGenerator for cases when g_PulseMinWidth==1

parent d4ca5732
......@@ -8,7 +8,7 @@ use ieee.std_logic_1164.all;
entity PulseGenerator is
generic (
g_PulseMinWidth: integer := 3 -- multiply of 'Clk_ik' periods
g_PulseMinWidth: positive := 3 -- multiple of 'Clk_ik' periods
);
port (
Clk_ik: in std_logic;
......@@ -24,6 +24,7 @@ architecture base of PulseGenerator is
begin
gMore: if g_PulseMinWidth > 1 generate
pShiftRegister: process (Clk_ik) begin
if rising_edge(Clk_ik) then
if Reset_ir = '1' then
......@@ -43,5 +44,10 @@ begin
end loop;
Pulse_o <= Pulse;
end process;
end generate;
gOne: if g_PulseMinWidth = 1 generate
Pulse_o <= Signal_i;
end generate;
end architecture;
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment