Commit 342e311b authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

Make sampling clock available as fmc_adc_mezzanine port

parent 21c53031
...@@ -96,6 +96,8 @@ entity fmc_adc_100Ms_core is ...@@ -96,6 +96,8 @@ entity fmc_adc_100Ms_core is
adc_outb_p_i : in std_logic_vector(3 downto 0); -- ADC serial data (even bits) adc_outb_p_i : in std_logic_vector(3 downto 0); -- ADC serial data (even bits)
adc_outb_n_i : in std_logic_vector(3 downto 0); adc_outb_n_i : in std_logic_vector(3 downto 0);
adc_fs_clk_o : out std_logic; -- FMC sampling clock out for WR SoftPLL
gpio_dac_clr_n_o : out std_logic; -- offset DACs clear (active low) gpio_dac_clr_n_o : out std_logic; -- offset DACs clear (active low)
gpio_led_acq_o : out std_logic; -- Mezzanine front panel power LED (PWR) gpio_led_acq_o : out std_logic; -- Mezzanine front panel power LED (PWR)
gpio_led_trig_o : out std_logic; -- Mezzanine front panel trigger LED (TRIG) gpio_led_trig_o : out std_logic; -- Mezzanine front panel trigger LED (TRIG)
...@@ -1826,4 +1828,6 @@ begin ...@@ -1826,4 +1828,6 @@ begin
trigout_fifo_din(t_trigout_data_coarse'range) <= trigger_tag_i.coarse; trigout_fifo_din(t_trigout_data_coarse'range) <= trigger_tag_i.coarse;
trigout_fifo_din(t_trigout_data_channels'range) <= trigout_triggers; trigout_fifo_din(t_trigout_data_channels'range) <= trigout_triggers;
end block b_trigout; end block b_trigout;
adc_fs_clk_o <= fs_clk;
end rtl; end rtl;
...@@ -88,7 +88,8 @@ entity fmc_adc_mezzanine is ...@@ -88,7 +88,8 @@ entity fmc_adc_mezzanine is
adc_outa_n_i : in std_logic_vector(3 downto 0); adc_outa_n_i : in std_logic_vector(3 downto 0);
adc_outb_p_i : in std_logic_vector(3 downto 0); -- ADC serial data (even bits) adc_outb_p_i : in std_logic_vector(3 downto 0); -- ADC serial data (even bits)
adc_outb_n_i : in std_logic_vector(3 downto 0); adc_outb_n_i : in std_logic_vector(3 downto 0);
adc_fs_clk_o : out std_logic;
gpio_dac_clr_n_o : out std_logic; -- offset DACs clear (active low) gpio_dac_clr_n_o : out std_logic; -- offset DACs clear (active low)
gpio_led_acq_o : out std_logic; -- Mezzanine front panel power LED (PWR) gpio_led_acq_o : out std_logic; -- Mezzanine front panel power LED (PWR)
gpio_led_trig_o : out std_logic; -- Mezzanine front panel trigger LED (TRIG) gpio_led_trig_o : out std_logic; -- Mezzanine front panel trigger LED (TRIG)
...@@ -98,6 +99,8 @@ entity fmc_adc_mezzanine is ...@@ -98,6 +99,8 @@ entity fmc_adc_mezzanine is
gpio_ssr_ch4_o : out std_logic_vector(6 downto 0); -- Channel 4 solid state relays control gpio_ssr_ch4_o : out std_logic_vector(6 downto 0); -- Channel 4 solid state relays control
gpio_si570_oe_o : out std_logic; -- Si570 (programmable oscillator) output enable gpio_si570_oe_o : out std_logic; -- Si570 (programmable oscillator) output enable
spi_din_i : in std_logic; -- SPI data from FMC spi_din_i : in std_logic; -- SPI data from FMC
spi_dout_o : out std_logic; -- SPI data to FMC spi_dout_o : out std_logic; -- SPI data to FMC
spi_sck_o : out std_logic; -- SPI clock spi_sck_o : out std_logic; -- SPI clock
...@@ -363,7 +366,9 @@ begin ...@@ -363,7 +366,9 @@ begin
gpio_ssr_ch2_o => gpio_ssr_ch2_o, gpio_ssr_ch2_o => gpio_ssr_ch2_o,
gpio_ssr_ch3_o => gpio_ssr_ch3_o, gpio_ssr_ch3_o => gpio_ssr_ch3_o,
gpio_ssr_ch4_o => gpio_ssr_ch4_o, gpio_ssr_ch4_o => gpio_ssr_ch4_o,
gpio_si570_oe_o => gpio_si570_oe_o); gpio_si570_oe_o => gpio_si570_oe_o,
adc_fs_clk_o => adc_fs_clk_o
);
------------------------------------------------------------------------------ ------------------------------------------------------------------------------
-- Mezzanine 1-wire master -- Mezzanine 1-wire master
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment