Commit 6a520ac7 authored by Marek Gumiński's avatar Marek Gumiński

Removed out_status port from wrsw_rt_subsystem

Probably a bug introduced during rebase.
parent 5b468dec
......@@ -174,7 +174,6 @@ architecture rtl of wrsw_rt_subsystem is
dac_out_load_o : out std_logic;
out_enable_i : in std_logic_vector(g_num_outputs-1 downto 0);
out_locked_o : out std_logic_vector(g_num_outputs-1 downto 0);
out_status_o : out std_logic_vector(4*g_num_outputs-1 downto 0);
slave_i : in t_wishbone_slave_in;
slave_o : out t_wishbone_slave_out;
clk_rx_status_i : in std_logic_vector(g_num_ref_inputs-1 downto 0) :=(others=>'0');
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment