Commit c8734a37 authored by Federico Vaga's avatar Federico Vaga

relicense

Signed-off-by: Federico Vaga's avatarFederico Vaga <federico.vaga@cern.ch>
parent 22b5ca88
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: LGPL-2.1-or-later
*.o *.o
*.ko *.ko
*.mod.c *.mod.c
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: LGPL-2.1-or-later
[submodule "hdl/ip_cores/general-cores"] [submodule "hdl/ip_cores/general-cores"]
path = hdl/ip_cores/general-cores path = hdl/ip_cores/general-cores
url = https://ohwr.org/project/general-cores.git url = https://ohwr.org/project/general-cores.git
......
.. SPDX-FileCopyrightText: 2022 CERN (home.cern)
..
.. SPDX-License-Identifier: CC-BY-SA-4.0+
========== ==========
Change Log Change Log
========== ==========
......
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
.. SPDX-FileCopyrightText: 2022 CERN (home.cern)
..
.. SPDX-License-Identifier: CC-BY-SA-4.0+
============================= =============================
Simple VME FMC Carrier - SVEC Simple VME FMC Carrier - SVEC
============================= =============================
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CC-BY-SA-4.0+
# Minimal makefile for Sphinx documentation # Minimal makefile for Sphinx documentation
# #
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CC-BY-SA-4.0+
# -*- coding: utf-8 -*- # -*- coding: utf-8 -*-
# #
# Configuration file for the Sphinx documentation builder. # Configuration file for the Sphinx documentation builder.
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0
files =["chipscope_icon.ngc", "chipscope_ila.ngc" ] files =["chipscope_icon.ngc", "chipscope_ila.ngc" ]
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0
sourceid_svec_base_pkg.vhd sourceid_svec_base_pkg.vhd
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0
files = [ files = [
"svec_base_regs.vhd", "svec_base_regs.vhd",
"svec_base_wr.vhd", "svec_base_wr.vhd",
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0
files = [ "flash_boot.vhd", files = [ "flash_boot.vhd",
"m25p_flash.vhd", "m25p_flash.vhd",
"mini_vme.vhd", "mini_vme.vhd",
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
----------------------------------------------------------------------------- -----------------------------------------------------------------------------
-- Title : Flash-to-Xilinx FPGA bitstream loader -- Title : Flash-to-Xilinx FPGA bitstream loader
-- Project : Simple VME64x FMC Carrier (SVEC) -- Project : Simple VME64x FMC Carrier (SVEC)
...@@ -6,7 +10,7 @@ ...@@ -6,7 +10,7 @@
-- Author : Tomasz Wlostowski -- Author : Tomasz Wlostowski
-- Company : CERN -- Company : CERN
-- Created : 2011-01-24 -- Created : 2011-01-24
-- Last update: 2014-01-15 -- Last update: 2022-11-09
-- Platform : FPGA-generic -- Platform : FPGA-generic
-- Standard : VHDL'93 -- Standard : VHDL'93
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
...@@ -15,26 +19,6 @@ ...@@ -15,26 +19,6 @@
-- resides at a fixed location (defined in svec_bootloader_pkg) and the flash -- resides at a fixed location (defined in svec_bootloader_pkg) and the flash
-- is assumed to be formatted with SDB filesystem. -- is assumed to be formatted with SDB filesystem.
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
--
-- Copyright (c) 2013 CERN / BE-CO-HT
--
-- This source file is free software; you can redistribute it
-- and/or modify it under the terms of the GNU Lesser General
-- Public License as published by the Free Software Foundation;
-- either version 2.1 of the License, or (at your option) any
-- later version.
--
-- This source is distributed in the hope that it will be
-- useful, but WITHOUT ANY WARRANTY; without even the implied
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
-- PURPOSE. See the GNU Lesser General Public License for more
-- details.
--
-- You should have received a copy of the GNU Lesser General
-- Public License along with this source; if not, download it
-- from http://www.gnu.org/licenses/lgpl-2.1.html
--
-------------------------------------------------------------------------------
library ieee; library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_1164.all;
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
----------------------------------------------------------------------------- -----------------------------------------------------------------------------
-- Title : M25Pxxx Flash Controller -- Title : M25Pxxx Flash Controller
-- Project : Simple VME64x FMC Carrier (SVEC) -- Project : Simple VME64x FMC Carrier (SVEC)
...@@ -6,7 +10,7 @@ ...@@ -6,7 +10,7 @@
-- Author : Tomasz Wlostowski -- Author : Tomasz Wlostowski
-- Company : CERN -- Company : CERN
-- Created : 2013-01-24 -- Created : 2013-01-24
-- Last update: 2013-01-25 -- Last update: 2022-11-09
-- Platform : FPGA-generic -- Platform : FPGA-generic
-- Standard : VHDL'93 -- Standard : VHDL'93
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
...@@ -15,26 +19,6 @@ ...@@ -15,26 +19,6 @@
-- can execute any kind of operations, and a simple memory bus which can only read -- can execute any kind of operations, and a simple memory bus which can only read
-- blocks of bytes starting at a given address. -- blocks of bytes starting at a given address.
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
--
-- Copyright (c) 2013 CERN / BE-CO-HT
--
-- This source file is free software; you can redistribute it
-- and/or modify it under the terms of the GNU Lesser General
-- Public License as published by the Free Software Foundation;
-- either version 2.1 of the License, or (at your option) any
-- later version.
--
-- This source is distributed in the hope that it will be
-- useful, but WITHOUT ANY WARRANTY; without even the implied
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
-- PURPOSE. See the GNU Lesser General Public License for more
-- details.
--
-- You should have received a copy of the GNU Lesser General
-- Public License along with this source; if not, download it
-- from http://www.gnu.org/licenses/lgpl-2.1.html
--
-------------------------------------------------------------------------------
library ieee; library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_1164.all;
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- Title : Minimalistic VME64x Core -- Title : Minimalistic VME64x Core
-- Project : Simple VME64x FMC Carrier (SVEC) -- Project : Simple VME64x FMC Carrier (SVEC)
...@@ -6,7 +10,7 @@ ...@@ -6,7 +10,7 @@
-- Author : Tomasz Wlostowski -- Author : Tomasz Wlostowski
-- Company : CERN -- Company : CERN
-- Created : 2012-01-20 -- Created : 2012-01-20
-- Last update: 2014-01-13 -- Last update: 2022-11-09
-- Platform : FPGA-generic -- Platform : FPGA-generic
-- Standard : VHDL'93 -- Standard : VHDL'93
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
...@@ -14,26 +18,6 @@ ...@@ -14,26 +18,6 @@
-- accesses to a range of addresses specified in g_user_csr_start/end. Matching -- accesses to a range of addresses specified in g_user_csr_start/end. Matching
-- transactions are executed through a Wishbone master. -- transactions are executed through a Wishbone master.
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
--
-- Copyright (c) 2012 - 2013 CERN / BE-CO-HT
--
-- This source file is free software; you can redistribute it
-- and/or modify it under the terms of the GNU Lesser General
-- Public License as published by the Free Software Foundation;
-- either version 2.1 of the License, or (at your option) any
-- later version.
--
-- This source is distributed in the hope that it will be
-- useful, but WITHOUT ANY WARRANTY; without even the implied
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
-- PURPOSE. See the GNU Lesser General Public License for more
-- details.
--
-- You should have received a copy of the GNU Lesser General
-- Public License along with this source; if not, download it
-- from http://www.gnu.org/licenses/lgpl-2.1.html
--
-------------------------------------------------------------------------------
library ieee; library ieee;
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- Title : SVEC Bootloader Core -- Title : SVEC Bootloader Core
-- Project : Simple VME64x FMC Carrier (SVEC) -- Project : Simple VME64x FMC Carrier (SVEC)
...@@ -17,26 +21,6 @@ ...@@ -17,26 +21,6 @@
-- - provides raw access to the Flash SPI controller from the host (for -- - provides raw access to the Flash SPI controller from the host (for
-- in-system reprogramming of the Flash) -- in-system reprogramming of the Flash)
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
--
-- Copyright (c) 2013 CERN / BE-CO-HT
--
-- This source file is free software; you can redistribute it
-- and/or modify it under the terms of the GNU Lesser General
-- Public License as published by the Free Software Foundation;
-- either version 2.1 of the License, or (at your option) any
-- later version.
--
-- This source is distributed in the hope that it will be
-- useful, but WITHOUT ANY WARRANTY; without even the implied
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
-- PURPOSE. See the GNU Lesser General Public License for more
-- details.
--
-- You should have received a copy of the GNU Lesser General
-- Public License along with this source; if not, download it
-- from http://www.gnu.org/licenses/lgpl-2.1.html
--
-------------------------------------------------------------------------------
library ieee; library ieee;
use ieee.STD_LOGIC_1164.all; use ieee.STD_LOGIC_1164.all;
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- Title : SVEC FPGA Bootloader main package -- Title : SVEC FPGA Bootloader main package
-- Project : Simple VME64x FMC Carrier (SVEC) -- Project : Simple VME64x FMC Carrier (SVEC)
...@@ -6,33 +10,13 @@ ...@@ -6,33 +10,13 @@
-- Author : Tomasz Wlostowski -- Author : Tomasz Wlostowski
-- Company : CERN -- Company : CERN
-- Created : 2011-01-24 -- Created : 2011-01-24
-- Last update: 2014-01-13 -- Last update: 2022-11-09
-- Platform : FPGA-generic -- Platform : FPGA-generic
-- Standard : VHDL'93 -- Standard : VHDL'93
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- Description: Contains definitions of the bootloader's parameters (base -- Description: Contains definitions of the bootloader's parameters (base
-- addresses and timing). -- addresses and timing).
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
--
-- Copyright (c) 2013 CERN / BE-CO-HT
--
-- This source file is free software; you can redistribute it
-- and/or modify it under the terms of the GNU Lesser General
-- Public License as published by the Free Software Foundation;
-- either version 2.1 of the License, or (at your option) any
-- later version.
--
-- This source is distributed in the hope that it will be
-- useful, but WITHOUT ANY WARRANTY; without even the implied
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
-- PURPOSE. See the GNU Lesser General Public License for more
-- details.
--
-- You should have received a copy of the GNU Lesser General
-- Public License along with this source; if not, download it
-- from http://www.gnu.org/licenses/lgpl-2.1.html
--
-------------------------------------------------------------------------------
library ieee; library ieee;
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
--------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------
-- Title : Wishbone slave core for SVEC FPGA loader -- Title : Wishbone slave core for SVEC FPGA loader
--------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
--------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------
-- Title : Wishbone slave core for SVEC FPGA loader -- Title : Wishbone slave core for SVEC FPGA loader
--------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
--------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------
-- Title : Wishbone slave core for SVEC FPGA loader -- Title : Wishbone slave core for SVEC FPGA loader
--------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- Title : Xilinx FPGA Loader -- Title : Xilinx FPGA Loader
-- Project : Simple VME64x FMC Carrier (SVEC) -- Project : Simple VME64x FMC Carrier (SVEC)
...@@ -15,26 +19,6 @@ ...@@ -15,26 +19,6 @@
-- bitstream loader from general-cores library. Does not have Wishbone interface, -- bitstream loader from general-cores library. Does not have Wishbone interface,
-- but it is driven direclty by the flash booting FSM. -- but it is driven direclty by the flash booting FSM.
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
--
-- Copyright (c) 2012 - 2013 CERN
--
-- This source file is free software; you can redistribute it
-- and/or modify it under the terms of the GNU Lesser General
-- Public License as published by the Free Software Foundation;
-- either version 2.1 of the License, or (at your option) any
-- later version.
--
-- This source is distributed in the hope that it will be
-- useful, but WITHOUT ANY WARRANTY; without even the implied
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
-- PURPOSE. See the GNU Lesser General Public License for more
-- details.
--
-- You should have received a copy of the GNU Lesser General
-- Public License along with this source; if not, download it
-- from http://www.gnu.org/licenses/lgpl-2.1.html
--
-------------------------------------------------------------------------------
library ieee; library ieee;
use ieee.STD_LOGIC_1164.all; use ieee.STD_LOGIC_1164.all;
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0
files = ["golden_core.vhd", "golden_wbgen2_pkg.vhd", "golden_wb.vhd", "golden_core_pkg.vhd"]; files = ["golden_core.vhd", "golden_wbgen2_pkg.vhd", "golden_wb.vhd", "golden_core_pkg.vhd"];
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
library ieee; library ieee;
use ieee.STD_LOGIC_1164.all; use ieee.STD_LOGIC_1164.all;
use ieee.numeric_std.all; use ieee.numeric_std.all;
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
library ieee; library ieee;
use ieee.STD_LOGIC_1164.all; use ieee.STD_LOGIC_1164.all;
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
--------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------
-- Title : Wishbone slave core for Golden Bitstream WB Slave -- Title : Wishbone slave core for Golden Bitstream WB Slave
--------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
--------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------
-- Title : Wishbone slave core for Golden Bitstream WB Slave -- Title : Wishbone slave core for Golden Bitstream WB Slave
--------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0
memory-map: memory-map:
name: svec_base_regs name: svec_base_regs
bus: wb-32-be bus: wb-32-be
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
-- Do not edit. Generated on Fri Jan 29 13:36:51 2021 by tgingold -- Do not edit. Generated on Fri Jan 29 13:36:51 2021 by tgingold
-- With Cheby 1.4.dev0 and these options: -- With Cheby 1.4.dev0 and these options:
-- --gen-hdl=svec_base_regs.vhd -i svec_base_regs.cheby -- --gen-hdl=svec_base_regs.vhd -i svec_base_regs.cheby
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- CERN BE-CO-HT -- CERN BE-CO-HT
-- SVEC -- SVEC
...@@ -9,18 +13,6 @@ ...@@ -9,18 +13,6 @@
-- description: SVEC carrier base, with WR. -- description: SVEC carrier base, with WR.
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2019
--------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except
-- in compliance with the License. You may obtain a copy of the License at
-- http://solderpad.org/licenses/SHL-2.0.
-- Unless required by applicable law or agreed to in writing, software,
-- hardware and materials distributed under this License is distributed on an
-- "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express
-- or implied. See the License for the specific language governing permissions
-- and limitations under the License.
--------------------------------------------------------------------------------
library ieee; library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_1164.all;
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0
target = "xilinx" target = "xilinx"
action = "simulation" action = "simulation"
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0
files = ["ddr3.v"] files = ["ddr3.v"]
vlog_opt = "+incdir+sim_models/2048Mb_ddr3 +define+sg15E +define+x16" vlog_opt = "+incdir+sim_models/2048Mb_ddr3 +define+sg15E +define+x16"
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0
files = [ "VME64x_Package.vhd", files = [ "VME64x_Package.vhd",
"VME64x_SIM_Package.vhd", "VME64x_SIM_Package.vhd",
"vme64x_ddr_tb.vhd" ] "vme64x_ddr_tb.vhd" ]
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
-------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------
---------------------------VME64x_Package----------------------------------------- ---------------------------VME64x_Package-----------------------------------------
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
-------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------
---------------------------VME64x_SIM_Package----------------------------------------- ---------------------------VME64x_SIM_Package-----------------------------------------
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0
# User should define the variable svec_base_ucf # User should define the variable svec_base_ucf
files = [ "svec_base_common.ucf" ] files = [ "svec_base_common.ucf" ]
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0
target = "xilinx" target = "xilinx"
action = "synthesis" action = "synthesis"
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0
target = "xilinx" target = "xilinx"
action = "synthesis" action = "synthesis"
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0
target = "xilinx" target = "xilinx"
action = "synthesis" action = "synthesis"
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0
action = "simulation" action = "simulation"
target = "xilinx" target = "xilinx"
fetchto = "../../ip_cores" fetchto = "../../ip_cores"
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0
action = "simulation" action = "simulation"
target = "xilinx" target = "xilinx"
fetchto = "../../ip_cores" fetchto = "../../ip_cores"
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0
action = "simulation" action = "simulation"
target = "xilinx" target = "xilinx"
sim_tool = "modelsim" sim_tool = "modelsim"
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0
files = ["bicolor_led_ctrl_pkg.vhd", files = ["bicolor_led_ctrl_pkg.vhd",
"bicolor_led_ctrl.vhd", "bicolor_led_ctrl.vhd",
"wb_addr_decoder.vhd", "wb_addr_decoder.vhd",
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- CERN (BE-CO-HT) -- CERN (BE-CO-HT)
-- Bi-color LED controller -- Bi-color LED controller
...@@ -39,18 +43,6 @@ ...@@ -39,18 +43,6 @@
-- dependencies: -- dependencies:
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- GNU LESSER GENERAL PUBLIC LICENSE
--------------------------------------------------------------------------------
-- This source file is free software; you can redistribute it and/or modify it
-- under the terms of the GNU Lesser General Public License as published by the
-- Free Software Foundation; either version 2.1 of the License, or (at your
-- option) any later version. This source is distributed in the hope that it
-- will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty
-- of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
-- See the GNU Lesser General Public License for more details. You should have
-- received a copy of the GNU Lesser General Public License along with this
-- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html
--------------------------------------------------------------------------------
-- last changes: see log. -- last changes: see log.
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- TODO: - -- TODO: -
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- CERN (BE-CO-HT) -- CERN (BE-CO-HT)
-- Bi-color LED controller package -- Bi-color LED controller package
...@@ -17,18 +21,6 @@ ...@@ -17,18 +21,6 @@
-- dependencies: -- dependencies:
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- GNU LESSER GENERAL PUBLIC LICENSE
--------------------------------------------------------------------------------
-- This source file is free software; you can redistribute it and/or modify it
-- under the terms of the GNU Lesser General Public License as published by the
-- Free Software Foundation; either version 2.1 of the License, or (at your
-- option) any later version. This source is distributed in the hope that it
-- will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty
-- of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
-- See the GNU Lesser General Public License for more details. You should have
-- received a copy of the GNU Lesser General Public License along with this
-- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html
--------------------------------------------------------------------------------
-- last changes: see log. -- last changes: see log.
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- TODO: - -- TODO: -
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- CERN (BE-CO-HT) -- CERN (BE-CO-HT)
-- Bi-color LED controller testbench -- Bi-color LED controller testbench
...@@ -17,18 +21,6 @@ ...@@ -17,18 +21,6 @@
-- dependencies: -- dependencies:
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- GNU LESSER GENERAL PUBLIC LICENSE
--------------------------------------------------------------------------------
-- This source file is free software; you can redistribute it and/or modify it
-- under the terms of the GNU Lesser General Public License as published by the
-- Free Software Foundation; either version 2.1 of the License, or (at your
-- option) any later version. This source is distributed in the hope that it
-- will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty
-- of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
-- See the GNU Lesser General Public License for more details. You should have
-- received a copy of the GNU Lesser General Public License along with this
-- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html
--------------------------------------------------------------------------------
-- last changes: see log. -- last changes: see log.
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- TODO: - -- TODO: -
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0
files = [ "svec_afpga_top.vhd", files = [ "svec_afpga_top.vhd",
"svec_v1_afpga.ucf", "svec_v1_afpga.ucf",
"csr.vhd", "csr.vhd",
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
--------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------
-- Title : Wishbone slave core for Control and status registers -- Title : Wishbone slave core for Control and status registers
--------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- CERN (BE-CO-HT) -- CERN (BE-CO-HT)
-- Top level entity for Simple VME FMC Carrier (SVEC) Application FPGA -- Top level entity for Simple VME FMC Carrier (SVEC) Application FPGA
...@@ -18,18 +22,6 @@ ...@@ -18,18 +22,6 @@
-- dependencies: -- dependencies:
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- GNU LESSER GENERAL PUBLIC LICENSE
--------------------------------------------------------------------------------
-- This source file is free software; you can redistribute it and/or modify it
-- under the terms of the GNU Lesser General Public License as published by the
-- Free Software Foundation; either version 2.1 of the License, or (at your
-- option) any later version. This source is distributed in the hope that it
-- will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty
-- of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
-- See the GNU Lesser General Public License for more details. You should have
-- received a copy of the GNU Lesser General Public License along with this
-- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html
--------------------------------------------------------------------------------
-- last changes: see log. -- last changes: see log.
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- TODO: - -- TODO: -
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- -- -- --
-- CERN BE-CO-HT GN4124 core for PCIe FMC carrier -- -- CERN BE-CO-HT GN4124 core for PCIe FMC carrier --
...@@ -18,19 +22,7 @@ ...@@ -18,19 +22,7 @@
-- dependencies: -- dependencies:
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- GNU LESSER GENERAL PUBLIC LICENSE -- last changes:
--------------------------------------------------------------------------------
-- This source file is free software; you can redistribute it and/or modify it
-- under the terms of the GNU Lesser General Public License as published by the
-- Free Software Foundation; either version 2.1 of the License, or (at your
-- option) any later version. This source is distributed in the hope that it
-- will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty
-- of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
-- See the GNU Lesser General Public License for more details. You should have
-- received a copy of the GNU Lesser General Public License along with this
-- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html
--------------------------------------------------------------------------------
-- last changes:
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- TODO: -- TODO:
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0
files = ["svec_golden.vhd" ] files = ["svec_golden.vhd" ]
modules = {'local': ["../../rtl"]} modules = {'local': ["../../rtl"]}
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- CERN BE-CO-HT -- CERN BE-CO-HT
-- SVEC -- SVEC
...@@ -9,18 +13,6 @@ ...@@ -9,18 +13,6 @@
-- description: SVEC carrier golden. -- description: SVEC carrier golden.
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2019
--------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except
-- in compliance with the License. You may obtain a copy of the License at
-- http://solderpad.org/licenses/SHL-2.0.
-- Unless required by applicable law or agreed to in writing, software,
-- hardware and materials distributed under this License is distributed on an
-- "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express
-- or implied. See the License for the specific language governing permissions
-- and limitations under the License.
--------------------------------------------------------------------------------
library ieee; library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_1164.all;
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0
files = ["svec_golden_wr.vhd" ] files = ["svec_golden_wr.vhd" ]
modules = {'local': ["../../rtl"]} modules = {'local': ["../../rtl"]}
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- CERN BE-CO-HT -- CERN BE-CO-HT
-- SVEC -- SVEC
...@@ -8,19 +12,6 @@ ...@@ -8,19 +12,6 @@
-- --
-- description: SVEC carrier golden. -- description: SVEC carrier golden.
-- --
--------------------------------------------------------------------------------
-- Copyright CERN 2019
--------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except
-- in compliance with the License. You may obtain a copy of the License at
-- http://solderpad.org/licenses/SHL-2.0.
-- Unless required by applicable law or agreed to in writing, software,
-- hardware and materials distributed under this License is distributed on an
-- "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express
-- or implied. See the License for the specific language governing permissions
-- and limitations under the License.
--------------------------------------------------------------------------------
library ieee; library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_1164.all;
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0
files = [ "svec_sfpga_top.vhd", "svec_sfpga_top.ucf", "reset_gen.vhd" ] files = [ "svec_sfpga_top.vhd", "svec_sfpga_top.ucf", "reset_gen.vhd" ]
fetchto = "../../ip_cores" fetchto = "../../ip_cores"
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
library ieee; library ieee;
use ieee.STD_LOGIC_1164.all; use ieee.STD_LOGIC_1164.all;
use ieee.NUMERIC_STD.all; use ieee.NUMERIC_STD.all;
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- Title : SVEC System FPGA top level -- Title : SVEC System FPGA top level
-- Project : Simple VME64x FMC Carrier (SVEC) -- Project : Simple VME64x FMC Carrier (SVEC)
...@@ -15,26 +19,6 @@ ...@@ -15,26 +19,6 @@
-- the AFPGA. Possible boot configurations are: HOST -> AFPGA, FLASH -> AFPGA -- the AFPGA. Possible boot configurations are: HOST -> AFPGA, FLASH -> AFPGA
-- and HOST -> FLASH. -- and HOST -> FLASH.
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
--
-- Copyright (c) 2013 CERN / BE-CO-HT
--
-- This source file is free software; you can redistribute it
-- and/or modify it under the terms of the GNU Lesser General
-- Public License as published by the Free Software Foundation;
-- either version 2.1 of the License, or (at your option) any
-- later version.
--
-- This source is distributed in the hope that it will be
-- useful, but WITHOUT ANY WARRANTY; without even the implied
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
-- PURPOSE. See the GNU Lesser General Public License for more
-- details.
--
-- You should have received a copy of the GNU Lesser General
-- Public License along with this source; if not, download it
-- from http://www.gnu.org/licenses/lgpl-2.1.html
--
-------------------------------------------------------------------------------
library IEEE; library IEEE;
use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_1164.all;
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- CERN (BE-CO-HT) -- CERN (BE-CO-HT)
-- Top level entity for Simple VME FMC Carrier (SVEC) Application FPGA -- Top level entity for Simple VME FMC Carrier (SVEC) Application FPGA
...@@ -18,18 +22,6 @@ ...@@ -18,18 +22,6 @@
-- dependencies: -- dependencies:
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- GNU LESSER GENERAL PUBLIC LICENSE
--------------------------------------------------------------------------------
-- This source file is free software; you can redistribute it and/or modify it
-- under the terms of the GNU Lesser General Public License as published by the
-- Free Software Foundation; either version 2.1 of the License, or (at your
-- option) any later version. This source is distributed in the hope that it
-- will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty
-- of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
-- See the GNU Lesser General Public License for more details. You should have
-- received a copy of the GNU Lesser General Public License along with this
-- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html
--------------------------------------------------------------------------------
-- last changes: see svn log. -- last changes: see svn log.
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- TODO: - -- TODO: -
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- CERN (BE-CO-HT) -- CERN (BE-CO-HT)
-- Top level entity for Simple VME FMC Carrier (SVEC) System FPGA -- Top level entity for Simple VME FMC Carrier (SVEC) System FPGA
...@@ -18,18 +22,6 @@ ...@@ -18,18 +22,6 @@
-- dependencies: -- dependencies:
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- GNU LESSER GENERAL PUBLIC LICENSE
--------------------------------------------------------------------------------
-- This source file is free software; you can redistribute it and/or modify it
-- under the terms of the GNU Lesser General Public License as published by the
-- Free Software Foundation; either version 2.1 of the License, or (at your
-- option) any later version. This source is distributed in the hope that it
-- will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty
-- of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
-- See the GNU Lesser General Public License for more details. You should have
-- received a copy of the GNU Lesser General Public License along with this
-- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html
--------------------------------------------------------------------------------
-- last changes: see svn log. -- last changes: see svn log.
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- TODO: - -- TODO: -
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: LGPL-2.1-or-later
-include Makefile.specific -include Makefile.specific
-include $(REPO_PARENT)/parent_common.mk -include $(REPO_PARENT)/parent_common.mk
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: GPL-2.0-or-later
# add versions of supermodule. It is useful when svec-sw is included as sub-module # add versions of supermodule. It is useful when svec-sw is included as sub-module
# of a bigger project that we want to track # of a bigger project that we want to track
ifdef CONFIG_SUPER_REPO ifdef CONFIG_SUPER_REPO
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: GPL-2.0-or-later
-include Makefile.specific -include Makefile.specific
-include $(REPO_PARENT)/parent_common.mk -include $(REPO_PARENT)/parent_common.mk
......
// SPDX-FileCopyrightText: 2022 CERN (home.cern)
//
// SPDX-License-Identifier: GPL-2.0-or-later
/* /*
Register definitions for slave core: Xilinx FPGA loader Register definitions for slave core: Xilinx FPGA loader
......
# SPDX-License-Identifier: LGPL-3.0-or-later # SPDX-License-Identifier: LGPL-2.1-or-later
# #
# Copyright (C) 2020 CERN # Copyright (C) 2020 CERN
......
// SPDX-FileCopyrightText: 2022 CERN (home.cern)
//
// SPDX-License-Identifier: LGPL-2.1-or-later
#include <stdio.h> #include <stdio.h>
main(int argc, char *argv[]) main(int argc, char *argv[])
......
/* // SPDX-FileCopyrightText: 2022 CERN (home.cern)
* SPDX-License-Identifier: GPL-3.0-or-later //
* Copyright (C) 2021 CERN (www.cern.ch) // SPDX-License-Identifier: LGPL-2.1-or-later
* Author: Federico Vaga <federico.vaga@cern.ch> // Author: Federico Vaga <federico.vaga@cern.ch>
*/
#include <stdlib.h> #include <stdlib.h>
#include <stdio.h> #include <stdio.h>
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: LGPL-2.1-or-later
svec-flasher svec-flasher
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: LGPL-2.1-or-later
CC = gcc CC = gcc
CFLAGS = -I. CFLAGS = -I.
OBJS = libvmebus.o svec-flasher.o OBJS = libvmebus.o svec-flasher.o
......
// SPDX-FileCopyrightText: 2022 CERN (home.cern)
//
// SPDX-License-Identifier: LGPL-2.1-or-later
/** /**
* \file libvmebus.c * \file libvmebus.c
* \brief VME Bus access user library * \brief VME Bus access user library
...@@ -6,14 +10,6 @@ ...@@ -6,14 +10,6 @@
* *
* This library gives userspace applications access to the VME bus * This library gives userspace applications access to the VME bus
* *
* Copyright (c) 2009 \em Sbastien \em Dugu
*
* \par License:
* This program is free software; you can redistribute it and/or
* modify it under the terms of the GNU General Public License as
* published by the Free Software Foundation; either version 2 of the
* License, or (at your option) any later version.
*
*/ */
#include <stdio.h> #include <stdio.h>
......
// SPDX-FileCopyrightText: 2022 CERN (home.cern)
//
// SPDX-License-Identifier: LGPL-2.1-or-later
/** /**
* \file libvmebus.h * \file libvmebus.h
* \brief VME Bus access user library interface * \brief VME Bus access user library interface
...@@ -5,15 +9,6 @@ ...@@ -5,15 +9,6 @@
* \date 04/02/2009 * \date 04/02/2009
* *
* This library gives userspace applications access to the VME bus * This library gives userspace applications access to the VME bus
*
* Copyright (c) 2009 \em Sbastien \em Dugu
*
* \par License:
* This program is free software; you can redistribute it and/or
* modify it under the terms of the GNU General Public License as
* published by the Free Software Foundation; either version 2 of the
* License, or (at your option) any later version.
*
*/ */
#ifndef _LIBVMEBUS_H_INCLUDE_ #ifndef _LIBVMEBUS_H_INCLUDE_
......
// SPDX-FileCopyrightText: 2022 CERN (home.cern)
/* //
* Copyright (C) 2013 CERN (www.cern.ch) // SPDX-License-Identifier: LGPL-2.1-or-later
* Author: Tomasz Włostowski <tomasz.wlostowski@cern.ch>
*
* Released according to the GNU GPL, version 2 or any later version.
*
* svec-flasher: a trivial VME-SPI flasher application.
*/
#include <stdio.h> #include <stdio.h>
#include <stdio.h> #include <stdio.h>
......
// SPDX-FileCopyrightText: 2022 CERN (home.cern)
//
// SPDX-License-Identifier: LGPL-2.1-or-later
/* /*
Register definitions for slave core: SVEC FPGA loader Register definitions for slave core: SVEC FPGA loader
......
//SPDX-FileCopyrightText: 2022 CERN (home.cern)
//
// SPDX-License-Identifier: LGPL-2.1-or-later
/** /**
* \file vmebus.h * \file vmebus.h
* \brief PCI-VME public API * \brief PCI-VME public API
* \author Sebastien Dugue * \author Sebastien Dugue
* \date 04/02/2009 * \date 04/02/2009
* *
* This API presents in fact 2 APIs with some common definitions. One for * This API presents in fact 2 APIs with some common definitions. One for
* drivers and one for user applications. User applications cannot use the * drivers and one for user applications. User applications cannot use the
* driver specific parts enclosed in \#ifdef __KERNEL__ sections. * driver specific parts enclosed in \#ifdef __KERNEL__ sections.
*
* Copyright (c) 2009 \em Sebastien \em Dugue
*
* \par License:
* This program is free software; you can redistribute it and/or
* modify it under the terms of the GNU General Public License as
* published by the Free Software Foundation; either version 2 of the
* License, or (at your option) any later version.
*
*/ */
#ifndef _VME_H #ifndef _VME_H
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: LGPL-2.1-or-later
import os import os
import unittest import unittest
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: LGPL-2.1-or-later
import os import os
import random import random
import string import string
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment