• Dimitris Lampridis's avatar
    [hdl] add g_SYNC_OUT option to gc_frequency meter. · 686760c9
    Dimitris Lampridis authored
    Without this option, the two outputs of the module are in different clock domains. The frequency value is in the clk_in domain, while the "valid" flag is in the system clock domain.
    
    With the new option, if set to TRUE, both outputs will be in the system clock domain.
    686760c9
Name
Last commit
Last update
..
axi Loading commit data...
common Loading commit data...
genrams Loading commit data...
wishbone Loading commit data...