• Dimitris Lampridis's avatar
    [hdl] add g_SYNC_OUT option to gc_frequency meter. · 686760c9
    Dimitris Lampridis authored
    Without this option, the two outputs of the module are in different clock domains. The frequency value is in the clk_in domain, while the "valid" flag is in the system clock domain.
    
    With the new option, if set to TRUE, both outputs will be in the system clock domain.
    686760c9
Name
Last commit
Last update
doc Loading commit data...
modules Loading commit data...
platform Loading commit data...
sim Loading commit data...
syn/gsi_pexaria2a/wishbone_demo Loading commit data...
testbench Loading commit data...
tools Loading commit data...
top/gsi_pexaria2a/wishbone_demo Loading commit data...
.gitignore Loading commit data...
Manifest.py Loading commit data...
README.md Loading commit data...