1. 06 Jan, 2016 1 commit
    • Cesar Prados's avatar
      generic_fifos: reorganization of the inferred, generic and altera fifos · bd7bca1c
      Cesar Prados authored
      The so-called "inferred_X_fifo" are basically generics fifos using
      inferred rams blocks from altera or xilinx, depending the target
      platform. That's why it makes more sense to move them to the "generic"
      folder of genrams. This change forces to rename the "generic_X_fifo"
      under "altera". Since these fifos are using the altera fifo  Megafunction,
      are going to be called "altera_X_fifo". The Manifest has been changed accordingly.
      bd7bca1c
  2. 18 Nov, 2015 4 commits
  3. 17 Nov, 2015 1 commit
  4. 16 Nov, 2015 1 commit
  5. 12 Nov, 2015 1 commit
  6. 01 Oct, 2015 1 commit
  7. 12 Aug, 2015 1 commit
    • Wesley W. Terpstra's avatar
      pcie_wb: reduce FIFO depth to decrease max wait times (fixes flash) · aa3570a7
      Wesley W. Terpstra authored
      PCIe must respond to reads within a fairly tight deadline.
      If we allow too many enqueued operations, that deadline may be missed.
      Using a smaller FIFO depth causes back-pressure on the PCIe bus, slowing the
      request arrival rate and thus increasing the time a single WB op can take.
      
      Concretely, this makes it possible to perform an SPI flash write within
      the PCIe time limit.
      aa3570a7
  8. 07 Jul, 2015 1 commit
    • Wesley W. Terpstra's avatar
      xwb_clock_crossing: be more forgiving to pushy masters · 849883ad
      Wesley W. Terpstra authored
      If a Wishbone master lowers the cycle line before receiving its acks, it is
      non-conforming.  However, it is probably a good idea to not let an honest
      slave (whose ack then comes in outside of the cycle) be penalized for that
      master's misbehaviour.
      
      This small change ensures the FIFO does not leak space in this case.
      849883ad
  9. 03 Jul, 2015 2 commits
  10. 15 Apr, 2015 2 commits
  11. 25 Feb, 2015 1 commit
    • Theodor-Adrian Stana's avatar
      wb_i2c_bridge: Fixed write to unknown address bug · 29db1b2a
      Theodor-Adrian Stana authored
      There was a bug in the wb_i2c_bridge that manifested itself a WB slave of the
      wb_i2c_master module replies by an error to the write command. The bridge FSM
      was buggy and was not clearing the WB signals, which led to the next WB transfer
      in the sequence (any access to the I2C slave) failing.
      
      This error was fixed by clearing the WB signals on error as well and the slave
      now replies properly.
      
      The WB signals are properly cleared on WB error in the case of a read, so this
      issue does not exist.
      29db1b2a
  12. 24 Feb, 2015 2 commits
  13. 17 Feb, 2015 2 commits
  14. 09 Dec, 2014 3 commits
  15. 14 Aug, 2014 4 commits
  16. 04 Aug, 2014 6 commits
  17. 31 Jul, 2014 2 commits
  18. 17 Jul, 2014 2 commits
  19. 30 Jun, 2014 1 commit
  20. 10 Jun, 2014 1 commit
  21. 05 Jun, 2014 1 commit