Commit e38b5280 authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

wb_uart: testbench with regression cases against different combinations of FIFOs…

wb_uart: testbench with regression cases against different combinations of FIFOs & VUART functionality
parent 568f79d4
This diff is collapsed.
#vlog -sv main.sv +incdir+. +incdir+../../include/wb +incdir+../include/vme64x_bfm +incdir+../../include +incdir+../include +incdir+../../sim
set StdArithNoWarnings 1
set NumericStdNoWarnings 1
vsim -L unisim -L XilinxCoreLib work.main -voptargs=+acc -t 10fs
vsim work.main -voptargs=+acc
set StdArithNoWarnings 1
set NumericStdNoWarnings 1
do wave.do
radix -hexadecimal
run 10ms
\ No newline at end of file
run 200ms
This diff is collapsed.
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment