Commit 9f42c8dd authored by Dimitris Lampridis's avatar Dimitris Lampridis

tools: add a couple of missing newlines to gen_sourceid.py output

parent 7ee2601c
......@@ -36,8 +36,8 @@ with open("sourceid_{}_pkg.vhd".format(project), "w") as f:
f.write("--\n")
f.write("-- This file was automatically generated; do not edit\n")
f.write("\n")
f.write("library ieee;")
f.write("use ieee.std_logic_1164.all;")
f.write("library ieee;\n")
f.write("use ieee.std_logic_1164.all;\n")
f.write("\n")
f.write("package sourceid_{}_pkg is\n".format(project))
f.write(" constant sourceid : std_logic_vector(127 downto 0) :=\n")
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment