Commit 7ee2601c authored by Dimitris Lampridis's avatar Dimitris Lampridis

tools: add comment to gen_sourceid.py explaining difference with gen_buildinfo.py

parent ba4bbfd2
# Script to generate the buildinfo_pkg.vhd file
# Script to generate the sourceid_<project>_pkg.vhd file
# Local parameter: project
# Note: this script differs from the (similar) gen_buildinfo.py in that it produces std_logic
# vectors with versioning info to be embedded in the metadata, while buildinfo produces a string
# that focuses more on when/how/who built the bitstream.
with open("sourceid_{}_pkg.vhd".format(project), "w") as f:
import subprocess
import time
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment