Commit 8796fa8e authored by Christos Gentsos's avatar Christos Gentsos

common: amend for the previous commit

parent 1e5fd6be
......@@ -30,7 +30,7 @@ entity gc_sync is
clk_i : in std_logic;
rst_n_a_i : in std_logic;
d_i : in std_logic;
q_o : out std_logic;
q_o : out std_logic
);
end gc_sync;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment