Commit 1e5fd6be authored by Christos Gentsos's avatar Christos Gentsos

common: fix entity declaration in gc_sync.vhd

parent 7efba995
......@@ -31,7 +31,7 @@ entity gc_sync is
rst_n_a_i : in std_logic;
d_i : in std_logic;
q_o : out std_logic;
);
end gc_sync;
-- make Altera Quartus quiet regarding unknown attributes:
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment