Commit 47b5fcb2 authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

common: added 8b10b decoder core

parent 96295346
files = [ "matrix_pkg.vhd",
"gencores_pkg.vhd",
files = [ "gencores_pkg.vhd",
"gc_crc_gen.vhd",
"gc_moving_average.vhd",
"gc_extend_pulse.vhd",
......@@ -23,5 +22,6 @@ files = [ "matrix_pkg.vhd",
"gc_bicolor_led_ctrl.vhd",
"gc_sync_register.vhd",
"gc_single_reset_gen.vhd",
"gc_async_signals_input_stage.vhd"
"gc_async_signals_input_stage.vhd",
"gc_dec_8b10b.vhd"
];
This diff is collapsed.
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment