Skip to content
Snippets Groups Projects
Commit 04536cc3 authored by Tomasz Wlostowski's avatar Tomasz Wlostowski
Browse files

xwb_i2c_master: added g_interface_mode generic

parent bddd2e82
Branches
Tags
No related merge requests found
......@@ -4,6 +4,9 @@ use ieee.std_logic_1164.all;
use work.wishbone_pkg.all;
entity xwb_i2c_master is
generic(
g_interface_mode : t_wishbone_interface_mode := CLASSIC
);
port (
clk_sys_i : in std_logic;
rst_n_i : in std_logic;
......@@ -51,6 +54,13 @@ architecture rtl of xwb_i2c_master is
begin -- rtl
gen_test_mode : if(g_interface_mode /= CLASSIC) generate
assert false report "xwb_i2c_master: this module can only work with CLASSIC wishbone interface" severity failure;
end generate gen_test_mode;
rst <= not rst_n_i;
Wrapped_I2C : i2c_master_top
......
0% or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment