From 04536cc331d5ea14887916f91ad9cb9e8f3b7169 Mon Sep 17 00:00:00 2001
From: Tomasz Wlostowski <tomasz.wlostowski@cern.ch>
Date: Tue, 12 Jul 2011 16:21:28 +0200
Subject: [PATCH] xwb_i2c_master: added g_interface_mode generic

---
 modules/wishbone/wb_i2c_master/xwb_i2c_master.vhd | 10 ++++++++++
 1 file changed, 10 insertions(+)

diff --git a/modules/wishbone/wb_i2c_master/xwb_i2c_master.vhd b/modules/wishbone/wb_i2c_master/xwb_i2c_master.vhd
index d8ec4794..8e151a46 100644
--- a/modules/wishbone/wb_i2c_master/xwb_i2c_master.vhd
+++ b/modules/wishbone/wb_i2c_master/xwb_i2c_master.vhd
@@ -4,6 +4,9 @@ use ieee.std_logic_1164.all;
 use work.wishbone_pkg.all;
 
 entity xwb_i2c_master is
+  generic(
+    g_interface_mode         : t_wishbone_interface_mode := CLASSIC
+    );
   port (
     clk_sys_i : in std_logic;
     rst_n_i   : in std_logic;
@@ -51,6 +54,13 @@ architecture rtl of xwb_i2c_master is
   
 begin  -- rtl
 
+
+  gen_test_mode : if(g_interface_mode /= CLASSIC) generate
+
+    assert false report "xwb_i2c_master: this module can only work with CLASSIC wishbone interface" severity failure;
+
+  end generate gen_test_mode;
+  
   rst <= not rst_n_i;
 
   Wrapped_I2C : i2c_master_top
-- 
GitLab