Commit 9eca5c98 authored by Tristan Gingold's avatar Tristan Gingold

svec_ref_fmc_adc_100Ms: move to offset 0x4000

parent 5f61c4fb
...@@ -6,14 +6,14 @@ memory-map: ...@@ -6,14 +6,14 @@ memory-map:
name: svec_ref_fmc_adc_100m_mmap name: svec_ref_fmc_adc_100m_mmap
bus: wb-32-be bus: wb-32-be
description: SPEC FMC-ADC-100M memory map description: SPEC FMC-ADC-100M memory map
size: 0x8000 size: 0x10000
x-hdl: x-hdl:
busgroup: True busgroup: True
pipeline: wr,rd pipeline: wr,rd
children: children:
- submap: - submap:
name: metadata name: metadata
address: 0x2000 address: 0x4000
size: 0x40 size: 0x40
interface: wb-32-be interface: wb-32-be
x-hdl: x-hdl:
...@@ -21,11 +21,11 @@ memory-map: ...@@ -21,11 +21,11 @@ memory-map:
description: a ROM containing the application metadata description: a ROM containing the application metadata
- submap: - submap:
name: fmc1_adc_mezzanine name: fmc1_adc_mezzanine
address: 0x4000 address: 0x6000
description: FMC ADC Mezzanine slot 1 description: FMC ADC Mezzanine slot 1
filename: fmc_adc_mezzanine_mmap.cheby filename: fmc_adc_mezzanine_mmap.cheby
- submap: - submap:
name: fmc2_adc_mezzanine name: fmc2_adc_mezzanine
address: 0x6000 address: 0x8000
description: FMC ADC Mezzanine slot 2 description: FMC ADC Mezzanine slot 2
filename: fmc_adc_mezzanine_mmap.cheby filename: fmc_adc_mezzanine_mmap.cheby
...@@ -32,7 +32,7 @@ entity svec_ref_fmc_adc_100m_mmap is ...@@ -32,7 +32,7 @@ entity svec_ref_fmc_adc_100m_mmap is
end svec_ref_fmc_adc_100m_mmap; end svec_ref_fmc_adc_100m_mmap;
architecture syn of svec_ref_fmc_adc_100m_mmap is architecture syn of svec_ref_fmc_adc_100m_mmap is
signal adr_int : std_logic_vector(14 downto 2); signal adr_int : std_logic_vector(15 downto 2);
signal rd_req_int : std_logic; signal rd_req_int : std_logic;
signal wr_req_int : std_logic; signal wr_req_int : std_logic;
signal rd_ack_int : std_logic; signal rd_ack_int : std_logic;
...@@ -63,7 +63,7 @@ architecture syn of svec_ref_fmc_adc_100m_mmap is ...@@ -63,7 +63,7 @@ architecture syn of svec_ref_fmc_adc_100m_mmap is
signal fmc2_adc_mezzanine_wack : std_logic; signal fmc2_adc_mezzanine_wack : std_logic;
signal fmc2_adc_mezzanine_rack : std_logic; signal fmc2_adc_mezzanine_rack : std_logic;
signal rd_req_d0 : std_logic; signal rd_req_d0 : std_logic;
signal rd_adr_d0 : std_logic_vector(14 downto 2); signal rd_adr_d0 : std_logic_vector(15 downto 2);
signal rd_ack_d0 : std_logic; signal rd_ack_d0 : std_logic;
signal rd_dat_d0 : std_logic_vector(31 downto 0); signal rd_dat_d0 : std_logic_vector(31 downto 0);
signal wr_req_d0 : std_logic; signal wr_req_d0 : std_logic;
...@@ -73,7 +73,7 @@ architecture syn of svec_ref_fmc_adc_100m_mmap is ...@@ -73,7 +73,7 @@ architecture syn of svec_ref_fmc_adc_100m_mmap is
begin begin
-- WB decode signals -- WB decode signals
adr_int <= wb_i.adr(14 downto 2); adr_int <= wb_i.adr(15 downto 2);
wb_en <= wb_i.cyc and wb_i.stb; wb_en <= wb_i.cyc and wb_i.stb;
process (clk_i) begin process (clk_i) begin
...@@ -196,16 +196,16 @@ begin ...@@ -196,16 +196,16 @@ begin
metadata_we <= '0'; metadata_we <= '0';
fmc1_adc_mezzanine_we <= '0'; fmc1_adc_mezzanine_we <= '0';
fmc2_adc_mezzanine_we <= '0'; fmc2_adc_mezzanine_we <= '0';
case rd_adr_d0(14 downto 13) is case rd_adr_d0(15 downto 13) is
when "01" => when "010" =>
-- Submap metadata -- Submap metadata
metadata_we <= wr_req_d0; metadata_we <= wr_req_d0;
wr_ack_d0 <= metadata_wack; wr_ack_d0 <= metadata_wack;
when "10" => when "011" =>
-- Submap fmc1_adc_mezzanine -- Submap fmc1_adc_mezzanine
fmc1_adc_mezzanine_we <= wr_req_d0; fmc1_adc_mezzanine_we <= wr_req_d0;
wr_ack_d0 <= fmc1_adc_mezzanine_wack; wr_ack_d0 <= fmc1_adc_mezzanine_wack;
when "11" => when "100" =>
-- Submap fmc2_adc_mezzanine -- Submap fmc2_adc_mezzanine
fmc2_adc_mezzanine_we <= wr_req_d0; fmc2_adc_mezzanine_we <= wr_req_d0;
wr_ack_d0 <= fmc2_adc_mezzanine_wack; wr_ack_d0 <= fmc2_adc_mezzanine_wack;
...@@ -221,18 +221,18 @@ begin ...@@ -221,18 +221,18 @@ begin
metadata_re <= '0'; metadata_re <= '0';
fmc1_adc_mezzanine_re <= '0'; fmc1_adc_mezzanine_re <= '0';
fmc2_adc_mezzanine_re <= '0'; fmc2_adc_mezzanine_re <= '0';
case rd_adr_d0(14 downto 13) is case rd_adr_d0(15 downto 13) is
when "01" => when "010" =>
-- Submap metadata -- Submap metadata
metadata_re <= rd_req_d0; metadata_re <= rd_req_d0;
rd_dat_d0 <= metadata_i.dat; rd_dat_d0 <= metadata_i.dat;
rd_ack_d0 <= metadata_rack; rd_ack_d0 <= metadata_rack;
when "10" => when "011" =>
-- Submap fmc1_adc_mezzanine -- Submap fmc1_adc_mezzanine
fmc1_adc_mezzanine_re <= rd_req_d0; fmc1_adc_mezzanine_re <= rd_req_d0;
rd_dat_d0 <= fmc1_adc_mezzanine_i.dat; rd_dat_d0 <= fmc1_adc_mezzanine_i.dat;
rd_ack_d0 <= fmc1_adc_mezzanine_rack; rd_ack_d0 <= fmc1_adc_mezzanine_rack;
when "11" => when "100" =>
-- Submap fmc2_adc_mezzanine -- Submap fmc2_adc_mezzanine
fmc2_adc_mezzanine_re <= rd_req_d0; fmc2_adc_mezzanine_re <= rd_req_d0;
rd_dat_d0 <= fmc2_adc_mezzanine_i.dat; rd_dat_d0 <= fmc2_adc_mezzanine_i.dat;
......
...@@ -2,10 +2,10 @@ ...@@ -2,10 +2,10 @@
// //
// SPDX-License-Identifier: CC0-1.0 // SPDX-License-Identifier: CC0-1.0
`define SVEC_REF_FMC_ADC_100M_MMAP_SIZE 32768 `define SVEC_REF_FMC_ADC_100M_MMAP_SIZE 65536
`define ADDR_SVEC_REF_FMC_ADC_100M_MMAP_METADATA 'h2000 `define ADDR_SVEC_REF_FMC_ADC_100M_MMAP_METADATA 'h4000
`define SVEC_REF_FMC_ADC_100M_MMAP_METADATA_SIZE 64 `define SVEC_REF_FMC_ADC_100M_MMAP_METADATA_SIZE 64
`define ADDR_SVEC_REF_FMC_ADC_100M_MMAP_FMC1_ADC_MEZZANINE 'h4000 `define ADDR_SVEC_REF_FMC_ADC_100M_MMAP_FMC1_ADC_MEZZANINE 'h6000
`define SVEC_REF_FMC_ADC_100M_MMAP_FMC1_ADC_MEZZANINE_SIZE 8192 `define SVEC_REF_FMC_ADC_100M_MMAP_FMC1_ADC_MEZZANINE_SIZE 8192
`define ADDR_SVEC_REF_FMC_ADC_100M_MMAP_FMC2_ADC_MEZZANINE 'h6000 `define ADDR_SVEC_REF_FMC_ADC_100M_MMAP_FMC2_ADC_MEZZANINE 'h8000
`define SVEC_REF_FMC_ADC_100M_MMAP_FMC2_ADC_MEZZANINE_SIZE 8192 `define SVEC_REF_FMC_ADC_100M_MMAP_FMC2_ADC_MEZZANINE_SIZE 8192
...@@ -240,7 +240,7 @@ architecture arch of svec_ref_fmc_adc_100Ms is ...@@ -240,7 +240,7 @@ architecture arch of svec_ref_fmc_adc_100Ms is
constant c_WB_SLAVE_FMC1_ADC : integer := 2; -- FMC slot 2 ADC mezzanine constant c_WB_SLAVE_FMC1_ADC : integer := 2; -- FMC slot 2 ADC mezzanine
-- Convention metadata base address -- Convention metadata base address
constant c_METADATA_ADDR : t_wishbone_address := x"0000_2000"; constant c_METADATA_ADDR : t_wishbone_address := x"0000_4000";
------------------------------------------------------------------------------ ------------------------------------------------------------------------------
-- Signals declaration -- Signals declaration
...@@ -475,7 +475,7 @@ begin -- architecture arch ...@@ -475,7 +475,7 @@ begin -- architecture arch
generic map ( generic map (
g_VENDOR_ID => x"0000_10DC", g_VENDOR_ID => x"0000_10DC",
g_DEVICE_ID => x"4144_4302", -- "ADC2" g_DEVICE_ID => x"4144_4302", -- "ADC2"
g_VERSION => x"0500_0000", g_VERSION => x"0500_0002",
g_CAPABILITIES => x"0000_0000", g_CAPABILITIES => x"0000_0000",
g_COMMIT_ID => (others => '0')) g_COMMIT_ID => (others => '0'))
port map ( port map (
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment