• Andela Kostic's avatar
    Support both wrc_core and streamers-on-spec_trigger-distribution testbenches · cd942e13
    Andela Kostic authored
    Now, in testbench/wrc_core there are two folders - modelsim and riviera. Each of them contains
    Manifest.py and run.do adjusted for the simulation with ModelSim/Riviera. One should navigate to
    one of these folders to run the simulation with the corresponding simulator.
    
    The streamers-on-spec_trigger-distribution testbench works now for wrpc-v5 in ModelSim.
    However, it does not work with Riviera. The problem is that the secureip library cannot be
    compiled for the spartan 6 and the Riviera version after 2008.
    cd942e13
Name
Last commit
Last update
..
Manifest.py Loading commit data...
wr_spec_pkg.vhd Loading commit data...
wrc_board_spec.vhd Loading commit data...
xwrc_board_spec.vhd Loading commit data...