Commit db46b00a authored by Andela Kostic's avatar Andela Kostic

Testbench wrc_core now works with Riviera-PRO

The testbench wrc_core for wrpc-v5 now works both with Riviera-PRO and ModelSim.
In Manifest.py, some lines should be commented out depending on the simulation tool (ModelSim or Riviera).
To run the simulation with Riviera, use run_riv.do.
To run the simulation with ModelSim, use run.do.
parent 815f56e2
Pipeline #4953 failed with stage
#vlog -dpiheader dpi/minic_dpi.h -sv main.sv +incdir+"." +incdir+../../sim
vlog -sv main.sv +incdir+"." +incdir+../../sim
#make -f Makefile
#vsim -sv_lib dpi/minic -L unisim -t 10fs work.main -voptargs="+acc"
# Modelsim run script
# execute: vsim -c -do "run.do"
vsim -L unisim -t 10fs work.main -voptargs="+acc"
set StdArithNoWarnings 1
set NumericStdNoWarnings 1
......
# Riviera run script for continuous integration (with return code)
# Riviera run script
# execute: vsim -c -do "run_riv.do"
vsim -L unisim -t 10fs work.main +access +r -ieee_nowarn
# for ModelSim (for Riviera this is already done with -ieee_nowarn)
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment