Testbench wrc_core now works with Riviera-PRO

The testbench wrc_core for wrpc-v5 now works both with Riviera-PRO and ModelSim.
In Manifest.py, some lines should be commented out depending on the simulation tool (ModelSim or Riviera).
To run the simulation with Riviera, use run_riv.do.
To run the simulation with ModelSim, use run.do.
Status Job ID Name Coverage
  Wrpc Compile
failed #14633
modelsim 10.0c
job_wrpc_compile