Commit 815f56e2 authored by Andela Kostic's avatar Andela Kostic

Testbench wrc_core now works with Riviera-PRO

The testbench wrc_core for wrpc-v5 now works both with Riviera-PRO and ModelSim.
In Manifest.py, some lines should be commented out depending on the simulation tool (ModelSim or Riviera).
To run the simulation with Riviera, use run_riv.do.
To run the simulation with ModelSim, use run.do.
parent 06d5a438
......@@ -3,13 +3,14 @@ target = "xilinx"
syn_device = "xc6slx45t"
syn_grade = "-3"
syn_package = "fgg484"
sim_tool = "modelsim"
#sim_tool = "riviera"
#sim_tool = "modelsim"
sim_tool = "riviera"
top_module = "main"
fetchto = "../../ip_cores"
vlog_opt = "+incdir+../../sim"
vcom_opt="-mixedsvvh"
# comment out the next line for ModelSim
#vcom_opt="-mixedsvvh"
files = [ "main.sv" ]
......
# Riviera run script for continuous integration (with return code)
# execute: vsim -c -do "run_riv.do"
vsim -L unisim -t 10fs work.main +access +r -ieee_nowarn
# for ModelSim (for Riviera this is already done with -ieee_nowarn)
#set StdArithNoWarnings 1
#set NumericStdNoWarnings 1
do wave_ci.do
radix -hexadecimal
run 200ms
wave zoomfull
radix -hexadecimal
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment