Commit 5036cc38 authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

sfpga_bootloader: drive PLL_CE pin high by default

parent e408ed29
......@@ -239,4 +239,7 @@ NET "rst_n_i" LOC = E15;
NET "lclk_n_i" LOC = H5;
NET "rst_n_i" IOSTANDARD="LVCMOS33";
NET "lclk_n_i" IOSTANDARD="LVCMOS33";
\ No newline at end of file
NET "lclk_n_i" IOSTANDARD="LVCMOS33";
NET "pll_ce_o" IOSTANDARD="LVCMOS33";
NET "pll_ce_o" LOC=G14;
\ No newline at end of file
......@@ -55,7 +55,10 @@ entity svec_sfpga_top is
boot_dout_o : out std_logic;
boot_status_i : in std_logic;
debugled_o : out std_logic_vector(2 downto 1)
debugled_o : out std_logic_vector(2 downto 1);
pll_ce_o: out std_logic
);
end svec_sfpga_top;
......@@ -317,6 +320,7 @@ begin
debugled_o(1) <= gpio(0);
debugled_o(2) <= boot_en;
pll_ce_o <= '1';
end rtl;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment