Skip to content
Snippets Groups Projects
Commit 886f5bc2 authored by Tristan Gingold's avatar Tristan Gingold
Browse files

increase iram size

parent 329481c3
Branches
No related merge requests found
......@@ -66,7 +66,7 @@ architecture behav of sf2_test is
signal ahb_state : ahb_state_t;
-- IRAM log size in bytes.
constant IRAM_LOG_SIZE : natural := 10;
constant IRAM_LOG_SIZE : natural := 12;
signal iram_addr : std_logic_vector(IRAM_LOG_SIZE - 1 downto 2);
signal iram_we : std_logic;
......
0% or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment