diff --git a/hdl/top/sf2-test/sf2_test.vhd b/hdl/top/sf2-test/sf2_test.vhd index de18fb1d5b3f77217c1c1a425533a56750fdf8eb..f7d72f1ca3fd2c8024869c9ab8f717ac3c0a8788 100644 --- a/hdl/top/sf2-test/sf2_test.vhd +++ b/hdl/top/sf2-test/sf2_test.vhd @@ -66,7 +66,7 @@ architecture behav of sf2_test is signal ahb_state : ahb_state_t; -- IRAM log size in bytes. - constant IRAM_LOG_SIZE : natural := 10; + constant IRAM_LOG_SIZE : natural := 12; signal iram_addr : std_logic_vector(IRAM_LOG_SIZE - 1 downto 2); signal iram_we : std_logic;