Commit f9fd1743 authored by Istvan Kiss's avatar Istvan Kiss

Adjust TCs for all tools:clean target filtering

According to filtered clean targets list,
now only the used stages are added as clean
targets.
parent d770c0ff
......@@ -69,8 +69,8 @@ CLEAN_TARGETS := $(LIBS) $(PROJECT).asc $(PROJECT).blif
clean:
rm -rf $(CLEAN_TARGETS)
rm -rf project synthesize translate map par bitstream
rm -rf project.tcl synthesize.tcl translate.tcl map.tcl par.tcl bitstream.tcl files.tcl
rm -rf synthesize par bitstream
rm -rf synthesize.tcl par.tcl bitstream.tcl files.tcl
mrproper: clean
rm -rf $(PROJECT).bin
......
......@@ -70,8 +70,8 @@ CLEAN_TARGETS := $(LIBS) $(PROJECT)
clean:
rm -rf $(CLEAN_TARGETS)
rm -rf project synthesize translate map par bitstream
rm -rf project.tcl synthesize.tcl translate.tcl map.tcl par.tcl bitstream.tcl files.tcl
rm -rf project bitstream
rm -rf project.tcl bitstream.tcl files.tcl
mrproper: clean
rm -rf *.pdb *.stp
......
......@@ -123,8 +123,8 @@ CLEAN_TARGETS := $(LIBS) planAhead_* planAhead.* .Xil $(PROJECT).cache $(PROJECT
clean:
rm -rf $(CLEAN_TARGETS)
rm -rf project synthesize translate map par bitstream
rm -rf project.tcl synthesize.tcl translate.tcl map.tcl par.tcl bitstream.tcl files.tcl
rm -rf project synthesize par bitstream
rm -rf project.tcl synthesize.tcl par.tcl bitstream.tcl files.tcl
mrproper: clean
rm -rf *.bit *.bin
......
......@@ -65,8 +65,8 @@ CLEAN_TARGETS := $(LIBS) *.rpt *.smsg *.summary *.done *.jdi *.pin *.qws db incr
clean:
rm -rf $(CLEAN_TARGETS)
rm -rf project synthesize translate map par bitstream
rm -rf project.tcl synthesize.tcl translate.tcl map.tcl par.tcl bitstream.tcl files.tcl
rm -rf project bitstream
rm -rf project.tcl bitstream.tcl files.tcl
mrproper: clean
rm -rf *.sof *.pof *.jam *.jbc *.ekp *.jic
......
......@@ -65,8 +65,8 @@ CLEAN_TARGETS := $(LIBS) *.rpt *.smsg *.summary *.done *.jdi *.pin *.qws db incr
clean:
rm -rf $(CLEAN_TARGETS)
rm -rf project synthesize translate map par bitstream
rm -rf project.tcl synthesize.tcl translate.tcl map.tcl par.tcl bitstream.tcl files.tcl
rm -rf project bitstream
rm -rf project.tcl bitstream.tcl files.tcl
mrproper: clean
rm -rf *.sof *.pof *.jam *.jbc *.ekp *.jic
......
......@@ -123,8 +123,8 @@ CLEAN_TARGETS := $(LIBS) .Xil *.jou *.log *.pb *.dmp $(PROJECT).cache $(PROJECT)
clean:
rm -rf $(CLEAN_TARGETS)
rm -rf project synthesize translate map par bitstream
rm -rf project.tcl synthesize.tcl translate.tcl map.tcl par.tcl bitstream.tcl files.tcl
rm -rf project synthesize par bitstream
rm -rf project.tcl synthesize.tcl par.tcl bitstream.tcl files.tcl
mrproper: clean
rm -rf *.bit *.bin
......
......@@ -123,8 +123,8 @@ CLEAN_TARGETS := $(LIBS) .Xil *.jou *.log *.pb *.dmp $(PROJECT).cache $(PROJECT)
clean:
rm -rf $(CLEAN_TARGETS)
rm -rf project synthesize translate map par bitstream
rm -rf project.tcl synthesize.tcl translate.tcl map.tcl par.tcl bitstream.tcl files.tcl
rm -rf project synthesize par bitstream
rm -rf project.tcl synthesize.tcl par.tcl bitstream.tcl files.tcl
mrproper: clean
rm -rf *.bit *.bin
......
......@@ -68,8 +68,8 @@ CLEAN_TARGETS := $(LIBS) *.rpt *.smsg *.summary *.done *.jdi *.pin *.qws db incr
clean:
rm -rf $(CLEAN_TARGETS)
rm -rf project synthesize translate map par bitstream
rm -rf project.tcl synthesize.tcl translate.tcl map.tcl par.tcl bitstream.tcl files.tcl
rm -rf project bitstream
rm -rf project.tcl bitstream.tcl files.tcl
mrproper: clean
rm -rf *.sof *.pof *.jam *.jbc *.ekp *.jic
......
......@@ -129,8 +129,8 @@ CLEAN_TARGETS := $(LIBS) .Xil *.jou *.log *.pb *.dmp $(PROJECT).cache $(PROJECT)
clean:
rm -rf $(CLEAN_TARGETS)
rm -rf project synthesize translate map par bitstream
rm -rf project.tcl synthesize.tcl translate.tcl map.tcl par.tcl bitstream.tcl files.tcl
rm -rf project synthesize par bitstream
rm -rf project.tcl synthesize.tcl par.tcl bitstream.tcl files.tcl
mrproper: clean
rm -rf *.bit *.bin
......
......@@ -69,8 +69,8 @@ CLEAN_TARGETS := $(LIBS) *.rpt *.smsg *.summary *.done *.jdi *.pin *.qws db incr
clean:
del /s /q /f $(CLEAN_TARGETS)
@-rmdir /s /q $(CLEAN_TARGETS) >nul 2>&1
del /s /q /f project synthesize translate map par bitstream
del /s /q /f project.tcl synthesize.tcl translate.tcl map.tcl par.tcl bitstream.tcl files.tcl
del /s /q /f project bitstream
del /s /q /f project.tcl bitstream.tcl files.tcl
mrproper: clean
del /s /q /f *.sof *.pof *.jam *.jbc *.ekp *.jic
......
......@@ -124,8 +124,8 @@ CLEAN_TARGETS := $(LIBS) .Xil *.jou *.log *.pb *.dmp $(PROJECT).cache $(PROJECT)
clean:
rm -rf $(CLEAN_TARGETS)
rm -rf project synthesize translate map par bitstream
rm -rf project.tcl synthesize.tcl translate.tcl map.tcl par.tcl bitstream.tcl files.tcl
rm -rf project synthesize par bitstream
rm -rf project.tcl synthesize.tcl par.tcl bitstream.tcl files.tcl
mrproper: clean
rm -rf *.bit *.bin
......
......@@ -87,8 +87,8 @@ CLEAN_TARGETS := $(LIBS) $(PROJECT) *.log
clean:
rm -rf $(CLEAN_TARGETS)
rm -rf project synthesize translate map par bitstream
rm -rf project.tcl synthesize.tcl translate.tcl map.tcl par.tcl bitstream.tcl files.tcl
rm -rf project bitstream
rm -rf project.tcl bitstream.tcl files.tcl
mrproper: clean
rm -rf *.pdb *.stp
......
......@@ -69,8 +69,8 @@ CLEAN_TARGETS := $(LIBS) $(PROJECT) *.log
clean:
rm -rf $(CLEAN_TARGETS)
rm -rf project synthesize translate map par bitstream
rm -rf project.tcl synthesize.tcl translate.tcl map.tcl par.tcl bitstream.tcl files.tcl
rm -rf project bitstream
rm -rf project.tcl bitstream.tcl files.tcl
mrproper: clean
rm -rf *.pdb *.stp
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment