Commit 513b7183 authored by Tristan Gingold's avatar Tristan Gingold

Use relative imports; create sourcefiles directory and move modules.

parent a768a46a
...@@ -28,11 +28,11 @@ import os ...@@ -28,11 +28,11 @@ import os
import logging import logging
import sys import sys
from hdlmake.tools.makefile_writer import load_syn_tool, load_sim_tool from ..tools.makefile_writer import load_syn_tool, load_sim_tool
from hdlmake.util import shell from ..util import shell
from hdlmake import new_dep_solver as dep_solver from ..sourcefiles import new_dep_solver as dep_solver
from hdlmake.srcfile import SourceFileSet, VHDLFile, VerilogFile, SVFile from ..sourcefiles.srcfile import SourceFileSet, VHDLFile, VerilogFile, SVFile
from hdlmake.module.module import Module, ModuleArgs from ..module.module import Module, ModuleArgs
class Action(object): class Action(object):
......
...@@ -28,14 +28,13 @@ import os ...@@ -28,14 +28,13 @@ import os
import sys import sys
import os.path import os.path
import hdlmake.fetch as fetch from ..sourcefiles import new_dep_solver as dep_solver
import hdlmake.new_dep_solver as dep_solver from ..util import path as path_mod
from hdlmake.util import path as path_mod from ..fetch.svn import Svn
from hdlmake.fetch.svn import Svn from ..fetch.git import Git, GitSM
from hdlmake.fetch.git import Git, GitSM from ..fetch.local import Local
from hdlmake.fetch.local import Local
from .action import Action from .action import Action
import hdlmake.util.shell as shell from ..util import shell
class Commands(Action): class Commands(Action):
......
...@@ -22,12 +22,12 @@ ...@@ -22,12 +22,12 @@
"""Module providing graph funtionalities to HDLMake""" """Module providing graph funtionalities to HDLMake"""
from __future__ import absolute_import from __future__ import absolute_import
from hdlmake.util import path from ..util import path
import logging import logging
from .action import Action from .action import Action
from hdlmake.dep_file import DepFile from ..sourcefiles.dep_file import DepFile
class ActionTree(Action): class ActionTree(Action):
...@@ -88,8 +88,8 @@ class ActionTree(Action): ...@@ -88,8 +88,8 @@ class ActionTree(Action):
self.build_file_set() self.build_file_set()
self.solve_file_set() self.solve_file_set()
from hdlmake.srcfile import SourceFileSet from ..sourcefiles.srcfile import SourceFileSet
from hdlmake.dep_file import DepRelation from ..sourcefiles.dep_file import DepRelation
assert isinstance(self.parseable_fileset, SourceFileSet) assert isinstance(self.parseable_fileset, SourceFileSet)
fset = self.parseable_fileset.filter(DepFile) fset = self.parseable_fileset.filter(DepFile)
# Find the file that provides the named top level entity # Find the file that provides the named top level entity
......
...@@ -23,7 +23,7 @@ ...@@ -23,7 +23,7 @@
from __future__ import absolute_import from __future__ import absolute_import
import os import os
from hdlmake.util import shell from ..util import shell
class Fetcher(object): class Fetcher(object):
......
...@@ -23,8 +23,8 @@ ...@@ -23,8 +23,8 @@
from __future__ import absolute_import from __future__ import absolute_import
import os import os
from hdlmake.util import path as path_utils from ..util import path as path_utils
from hdlmake.util import shell from ..util import shell
from subprocess import PIPE, Popen from subprocess import PIPE, Popen
import logging import logging
from .fetcher import Fetcher from .fetcher import Fetcher
......
...@@ -24,7 +24,7 @@ ...@@ -24,7 +24,7 @@
from __future__ import absolute_import from __future__ import absolute_import
import os import os
import logging import logging
from hdlmake.util import path as path_utils from ..util import path as path_utils
from .fetcher import Fetcher from .fetcher import Fetcher
......
...@@ -27,8 +27,8 @@ from __future__ import absolute_import ...@@ -27,8 +27,8 @@ from __future__ import absolute_import
import argparse import argparse
import sys import sys
import logging import logging
from hdlmake.util import shell from .util import shell
from hdlmake.util.termcolor import colored from .util.termcolor import colored
from .manifest_parser.manifestparser import ManifestParser from .manifest_parser.manifestparser import ManifestParser
from .action.commands import Commands from .action.commands import Commands
......
...@@ -3,8 +3,8 @@ from files to required submodules""" ...@@ -3,8 +3,8 @@ from files to required submodules"""
from __future__ import absolute_import from __future__ import absolute_import
import logging import logging
from hdlmake.fetch.git import Git from ..fetch.git import Git
from hdlmake.util import path as path_mod from ..util import path as path_mod
from .core import ModuleConfig from .core import ModuleConfig
import six import six
import os import os
...@@ -53,7 +53,7 @@ class ModuleContent(ModuleConfig): ...@@ -53,7 +53,7 @@ class ModuleContent(ModuleConfig):
Build a Source File Set containing the files indicated by the Build a Source File Set containing the files indicated by the
provided list of paths provided list of paths
""" """
from hdlmake.srcfile import create_source_file, SourceFileSet from ..sourcefiles.srcfile import create_source_file, SourceFileSet
srcs = SourceFileSet() srcs = SourceFileSet()
# Check if this is the top module and grab the include_dirs # Check if this is the top module and grab the include_dirs
if self.parent is None: if self.parent is None:
...@@ -81,7 +81,7 @@ class ModuleContent(ModuleConfig): ...@@ -81,7 +81,7 @@ class ModuleContent(ModuleConfig):
def _process_manifest_files(self): def _process_manifest_files(self):
"""Process the files instantiated by the HDLMake module""" """Process the files instantiated by the HDLMake module"""
from hdlmake.srcfile import SourceFileSet from ..sourcefiles.srcfile import SourceFileSet
# HDL files provided by the module # HDL files provided by the module
if "files" not in self.manifest_dict: if "files" not in self.manifest_dict:
self.files = SourceFileSet() self.files = SourceFileSet()
......
...@@ -5,8 +5,7 @@ import os ...@@ -5,8 +5,7 @@ import os
import sys import sys
import logging import logging
from hdlmake import fetch from ..util import path as path_mod
from hdlmake.util import path as path_mod
class ModuleArgs(object): class ModuleArgs(object):
......
...@@ -32,9 +32,9 @@ from __future__ import absolute_import ...@@ -32,9 +32,9 @@ from __future__ import absolute_import
import os import os
import logging import logging
from hdlmake.util import path as path_mod from ..util import path as path_mod
from hdlmake.util import shell from ..util import shell
from hdlmake.manifest_parser.manifestparser import ManifestParser from ..manifest_parser.manifestparser import ManifestParser
from .content import ModuleContent from .content import ModuleContent
from .core import ModuleArgs from .core import ModuleArgs
import six import six
......
...@@ -26,7 +26,7 @@ from __future__ import print_function ...@@ -26,7 +26,7 @@ from __future__ import print_function
import os import os
import logging import logging
from .util import path as path_mod from ..util import path as path_mod
import six import six
......
...@@ -27,7 +27,7 @@ from __future__ import print_function ...@@ -27,7 +27,7 @@ from __future__ import print_function
from __future__ import absolute_import from __future__ import absolute_import
import logging import logging
from .dep_file import DepFile from ..sourcefiles.dep_file import DepFile
class DepParser(object): class DepParser(object):
...@@ -125,8 +125,8 @@ def make_dependency_sorted_list(fileset): ...@@ -125,8 +125,8 @@ def make_dependency_sorted_list(fileset):
def make_dependency_set(fileset, top_level_entity, extra_modules=None): def make_dependency_set(fileset, top_level_entity, extra_modules=None):
"""Create the set of all files required to build the named """Create the set of all files required to build the named
top_level_entity.""" top_level_entity."""
from hdlmake.srcfile import SourceFileSet from ..sourcefiles.srcfile import SourceFileSet
from hdlmake.dep_file import DepRelation from ..sourcefiles.dep_file import DepRelation
assert isinstance(fileset, SourceFileSet) assert isinstance(fileset, SourceFileSet)
fset = fileset.filter(DepFile) fset = fileset.filter(DepFile)
......
...@@ -28,7 +28,7 @@ from __future__ import absolute_import ...@@ -28,7 +28,7 @@ from __future__ import absolute_import
import os import os
import logging import logging
from .util import path as path_mod from ..util import path as path_mod
from .dep_file import DepFile, File from .dep_file import DepFile, File
import six import six
...@@ -60,7 +60,7 @@ class VHDLFile(SourceFile): ...@@ -60,7 +60,7 @@ class VHDLFile(SourceFile):
def __init__(self, path, module, library=None): def __init__(self, path, module, library=None):
SourceFile.__init__(self, path=path, module=module, library=library) SourceFile.__init__(self, path=path, module=module, library=library)
from hdlmake.vhdl_parser import VHDLParser from .vhdl_parser import VHDLParser
self.parser = VHDLParser(self) self.parser = VHDLParser(self)
...@@ -71,7 +71,7 @@ class VerilogFile(SourceFile): ...@@ -71,7 +71,7 @@ class VerilogFile(SourceFile):
def __init__(self, path, module, library=None, def __init__(self, path, module, library=None,
include_dirs=None, is_include=False): include_dirs=None, is_include=False):
SourceFile.__init__(self, path=path, module=module, library=library) SourceFile.__init__(self, path=path, module=module, library=library)
from hdlmake.vlog_parser import VerilogParser from .vlog_parser import VerilogParser
self.include_dirs = [] self.include_dirs = []
if include_dirs: if include_dirs:
self.include_dirs.extend(include_dirs) self.include_dirs.extend(include_dirs)
...@@ -185,7 +185,7 @@ class XCIFile(SourceFile): ...@@ -185,7 +185,7 @@ class XCIFile(SourceFile):
def __init__(self, path, module, library=None): def __init__(self, path, module, library=None):
SourceFile.__init__(self, path=path, module=module, library=library) SourceFile.__init__(self, path=path, module=module, library=library)
from hdlmake.xci_parser import XCIParser from .xci_parser import XCIParser
self.parser = XCIParser(self) self.parser = XCIParser(self)
XILINX_FILE_DICT = { XILINX_FILE_DICT = {
......
...@@ -34,7 +34,7 @@ import logging ...@@ -34,7 +34,7 @@ import logging
from .new_dep_solver import DepParser from .new_dep_solver import DepParser
from .dep_file import DepRelation from .dep_file import DepRelation
from hdlmake.srcfile import create_source_file from .srcfile import create_source_file
from collections import namedtuple from collections import namedtuple
import six import six
...@@ -262,7 +262,6 @@ class VerilogPreprocessor(object): ...@@ -262,7 +262,6 @@ class VerilogPreprocessor(object):
# init dependencies # init dependencies
self.vpp_filedeps[file_name + library] = [] self.vpp_filedeps[file_name + library] = []
cur_iter = 0
logging.debug("preprocess file %s (of length %d) in library %s", logging.debug("preprocess file %s (of length %d) in library %s",
file_name, len(file_content), library) file_name, len(file_content), library)
buf = _filter_protected_regions(_remove_comment(file_content)) buf = _filter_protected_regions(_remove_comment(file_content))
......
...@@ -28,7 +28,7 @@ from xml.etree import ElementTree as ET ...@@ -28,7 +28,7 @@ from xml.etree import ElementTree as ET
from .new_dep_solver import DepParser from .new_dep_solver import DepParser
from .dep_file import DepRelation from .dep_file import DepRelation
from hdlmake.srcfile import create_source_file from ..sourcefiles.srcfile import create_source_file
class XCIParser(DepParser): class XCIParser(DepParser):
"""Class providing the Xilinx XCI parser""" """Class providing the Xilinx XCI parser"""
......
...@@ -25,7 +25,7 @@ ...@@ -25,7 +25,7 @@
from __future__ import absolute_import from __future__ import absolute_import
from .makefilesim import MakefileSim from .makefilesim import MakefileSim
from hdlmake.srcfile import VHDLFile, VerilogFile, SVFile from ..sourcefiles.srcfile import VHDLFile, VerilogFile, SVFile
class ToolActiveHDL(MakefileSim): class ToolActiveHDL(MakefileSim):
......
...@@ -26,7 +26,7 @@ ...@@ -26,7 +26,7 @@
from __future__ import absolute_import from __future__ import absolute_import
from .makefilesyn import MakefileSyn from .makefilesyn import MakefileSyn
from hdlmake.srcfile import EDFFile, LPFFile, VHDLFile, VerilogFile from ..sourcefiles.srcfile import EDFFile, LPFFile, VHDLFile, VerilogFile
class ToolDiamond(MakefileSyn): class ToolDiamond(MakefileSyn):
......
...@@ -27,7 +27,7 @@ from __future__ import absolute_import ...@@ -27,7 +27,7 @@ from __future__ import absolute_import
import string import string
from .makefilesim import MakefileSim from .makefilesim import MakefileSim
from hdlmake.srcfile import VHDLFile from ..sourcefiles.srcfile import VHDLFile
class ToolGHDL(MakefileSim): class ToolGHDL(MakefileSim):
......
...@@ -26,7 +26,7 @@ ...@@ -26,7 +26,7 @@
from __future__ import absolute_import from __future__ import absolute_import
from .makefilesyn import MakefileSyn from .makefilesyn import MakefileSyn
from hdlmake.srcfile import VerilogFile, PCFFile from ..sourcefiles.srcfile import VerilogFile, PCFFile
class ToolIcestorm(MakefileSyn): class ToolIcestorm(MakefileSyn):
......
...@@ -29,9 +29,9 @@ import logging ...@@ -29,9 +29,9 @@ import logging
from .makefilesyn import MakefileSyn from .makefilesyn import MakefileSyn
from hdlmake.util import shell from ..util import shell
from hdlmake.srcfile import (VHDLFile, VerilogFile, SVFile, from ..sourcefiles.srcfile import (VHDLFile, VerilogFile, SVFile,
UCFFile, CDCFile, NGCFile, BMMFile, XCOFile) UCFFile, CDCFile, NGCFile, BMMFile, XCOFile)
FAMILY_NAMES = { FAMILY_NAMES = {
"XC6S": "Spartan6", "XC6S": "Spartan6",
......
...@@ -31,8 +31,8 @@ import os.path ...@@ -31,8 +31,8 @@ import os.path
import logging import logging
from .makefilesim import MakefileSim from .makefilesim import MakefileSim
from hdlmake.util import shell from ..util import shell
from hdlmake.srcfile import VerilogFile, VHDLFile from ..sourcefiles.srcfile import VerilogFile, VHDLFile
class ToolISim(MakefileSim): class ToolISim(MakefileSim):
......
...@@ -27,7 +27,7 @@ from __future__ import absolute_import ...@@ -27,7 +27,7 @@ from __future__ import absolute_import
import string import string
from .makefilesim import MakefileSim from .makefilesim import MakefileSim
from hdlmake.srcfile import VerilogFile, VHDLFile, SVFile from ..sourcefiles.srcfile import VerilogFile, VHDLFile, SVFile
class ToolIVerilog(MakefileSim): class ToolIVerilog(MakefileSim):
......
...@@ -26,7 +26,7 @@ ...@@ -26,7 +26,7 @@
from __future__ import absolute_import from __future__ import absolute_import
from .makefilesyn import MakefileSyn from .makefilesyn import MakefileSyn
from hdlmake.srcfile import VHDLFile, VerilogFile, SDCFile, PDCFile from ..sourcefiles.srcfile import VHDLFile, VerilogFile, SDCFile, PDCFile
class ToolLibero(MakefileSyn): class ToolLibero(MakefileSyn):
......
...@@ -28,7 +28,7 @@ import os ...@@ -28,7 +28,7 @@ import os
import logging import logging
import six import six
from hdlmake.util import shell from ..util import shell
class ToolMakefile(object): class ToolMakefile(object):
......
...@@ -6,8 +6,8 @@ import sys ...@@ -6,8 +6,8 @@ import sys
import logging import logging
from .makefile import ToolMakefile from .makefile import ToolMakefile
from hdlmake.util import shell from ..util import shell
from hdlmake.srcfile import VerilogFile, VHDLFile, SVFile from ..sourcefiles.srcfile import VerilogFile, VHDLFile, SVFile
def _check_simulation_manifest(manifest_dict): def _check_simulation_manifest(manifest_dict):
......
...@@ -5,9 +5,9 @@ import os, sys ...@@ -5,9 +5,9 @@ import os, sys
import logging import logging
from .makefile import ToolMakefile from .makefile import ToolMakefile
from hdlmake.util import shell from ..util import shell
from hdlmake.srcfile import VerilogFile, SVFile from ..sourcefiles.srcfile import VerilogFile, SVFile
def _check_synthesis_manifest(manifest_dict): def _check_synthesis_manifest(manifest_dict):
......
...@@ -28,8 +28,8 @@ import os ...@@ -28,8 +28,8 @@ import os
import string import string
from .makefilesim import MakefileSim from .makefilesim import MakefileSim
from hdlmake.util import shell from ..util import shell
from hdlmake.srcfile import VerilogFile, VHDLFile, SVFile from ..sourcefiles.srcfile import VerilogFile, VHDLFile, SVFile
import six import six
......
...@@ -25,7 +25,7 @@ ...@@ -25,7 +25,7 @@
from __future__ import absolute_import from __future__ import absolute_import
from .xilinx import ToolXilinx from .xilinx import ToolXilinx
from hdlmake.srcfile import (UCFFile, NGCFile, XMPFile, XCOFile, BMMFile) from ..sourcefiles.srcfile import (UCFFile, NGCFile, XMPFile, XCOFile, BMMFile)
class ToolPlanAhead(ToolXilinx): class ToolPlanAhead(ToolXilinx):
......
...@@ -29,11 +29,11 @@ import sys ...@@ -29,11 +29,11 @@ import sys
import logging import logging
from .makefilesyn import MakefileSyn from .makefilesyn import MakefileSyn
from hdlmake.util import path as path_mod from ..util import path as path_mod
from hdlmake.util import shell from ..util import shell
from hdlmake.srcfile import (VHDLFile, VerilogFile, SVFile, DPFFile, from ..sourcefiles.srcfile import (VHDLFile, VerilogFile, SVFile, DPFFile,
SignalTapFile, SDCFile, QIPFile, QSYSFile, SignalTapFile, SDCFile, QIPFile, QSYSFile,
QSFFile, BSFFile, BDFFile, TDFFile, GDFFile) QSFFile, BSFFile, BDFFile, TDFFile, GDFFile)
class ToolQuartus(MakefileSyn): class ToolQuartus(MakefileSyn):
......
...@@ -26,10 +26,10 @@ ...@@ -26,10 +26,10 @@
from __future__ import absolute_import from __future__ import absolute_import
from .xilinx import ToolXilinx from .xilinx import ToolXilinx
from hdlmake.srcfile import (VHDLFile, VerilogFile, SVFile, from ..sourcefiles.srcfile import (VHDLFile, VerilogFile, SVFile,
XDCFile, XCIFile, NGCFile, XMPFile, XDCFile, XCIFile, NGCFile, XMPFile,
XCOFile, COEFile, BDFile, TCLFile, BMMFile, XCOFile, COEFile, BDFile, TCLFile, BMMFile,
MIFFile, RAMFile, VHOFile, VEOFile, XCFFile) MIFFile, RAMFile, VHOFile, VEOFile, XCFFile)
class ToolVivado(ToolXilinx): class ToolVivado(ToolXilinx):
......
...@@ -26,7 +26,7 @@ ...@@ -26,7 +26,7 @@
from __future__ import absolute_import from __future__ import absolute_import
from .makefilesim import MakefileSim from .makefilesim import MakefileSim
from hdlmake.srcfile import VerilogFile, VHDLFile, SVFile from ..sourcefiles.srcfile import VerilogFile, VHDLFile, SVFile
class ToolVivadoSim(MakefileSim): class ToolVivadoSim(MakefileSim):
......
...@@ -26,7 +26,7 @@ ...@@ -26,7 +26,7 @@
from __future__ import absolute_import from __future__ import absolute_import
from .makefilesyn import MakefileSyn from .makefilesyn import MakefileSyn
from hdlmake.srcfile import VHDLFile, VerilogFile, SVFile, TCLFile from ..sourcefiles.srcfile import VHDLFile, VerilogFile, SVFile, TCLFile
import logging import logging
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment