Commit b60a5cf2 authored by Dimitris Lampridis's avatar Dimitris Lampridis

Merge branch '3-timing-issues-related-to-l2p_arbiter-outputs' into 'master'

Resolve "Timing issues related to l2p_arbiter outputs"

Closes #3

See merge request be-cem-edl/common/gn4124-core!2
parents f69c9499 b7b22855
......@@ -86,6 +86,9 @@ architecture rtl of l2p_arbiter is
signal arb_ser_valid_t : std_logic;
signal arb_ser_dframe_t : std_logic;
signal arb_ser_data_t : std_logic_vector(31 downto 0) := (others => '0');
signal arb_ser_valid_d : std_logic;
signal arb_ser_dframe_d : std_logic;
signal arb_ser_data_d : std_logic_vector(31 downto 0) := (others => '0');
begin
......@@ -174,9 +177,12 @@ begin
arb_ser_valid_o <= '0';
arb_ser_dframe_o <= '0';
else
arb_ser_valid_o <= arb_ser_valid_t;
arb_ser_dframe_o <= arb_ser_dframe_t;
arb_ser_data_o <= arb_ser_data_t;
arb_ser_valid_d <= arb_ser_valid_t;
arb_ser_dframe_d <= arb_ser_dframe_t;
arb_ser_data_d <= arb_ser_data_t;
arb_ser_valid_o <= arb_ser_valid_d;
arb_ser_dframe_o <= arb_ser_dframe_d;
arb_ser_data_o <= arb_ser_data_d;
end if;
end if;
end process;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment