Commit f69c9499 authored by Tristan Gingold's avatar Tristan Gingold

Merge branch 'release-v311' into 'master'

Fix component, create release

See merge request be-cem-edl/common/gn4124-core!1
parents 461b30fe d265dc5d
......@@ -9,6 +9,14 @@ Change Log
- Format inspired by: `Keep a Changelog <https://keepachangelog.com/en/1.0.0/>`_
- Versioning scheme follows: `Semantic Versioning <https://semver.org/spec/v2.0.0.html>`_
3.1.1 - 2022-11-03
==================
https://www.ohwr.org/project/gn4124-core/tree/v3.1.1
Fixed
-----
- hdl: adjust component
3.1.0 - 2020-11-09
==================
https://www.ohwr.org/project/gn4124-core/tree/v3.1.0
......
......@@ -93,7 +93,7 @@ package gn4124_core_pkg is
l_wr_rdy_i : in std_logic_vector(1 downto 0);
p_rd_d_rdy_i : in std_logic_vector(1 downto 0);
tx_error_i : in std_logic;
dma_irq_o : out std_logic_vector(1 downto 0);
dma_irq_o : out std_logic; -- Interrupt source to IRQ manager
irq_p_i : in std_logic;
irq_p_o : out std_logic;
wb_master_clk_i : in std_logic;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment