Commit 39521fe2 authored by Tristan Gingold's avatar Tristan Gingold

p2l_decode32: remove unused signals.

parent 9e43b261
......@@ -95,7 +95,6 @@ architecture rtl of p2l_decode32 is
signal des_p2l_dframe_d : std_logic := '0';
signal p2l_packet_start : std_logic;
signal p2l_packet_start_d : std_logic;
signal p2l_packet_end : std_logic;
signal p2l_addr_cycle : std_logic;
......@@ -113,7 +112,6 @@ architecture rtl of p2l_decode32 is
signal p2l_addr : unsigned(31 downto 0) := (others => '0'); -- Registered and counting Address
signal p2l_d_valid : std_logic; -- Indicates Address/Data is valid
signal p2l_d_first : std_logic;
signal p2l_d_last : std_logic; -- Indicates end of the packet
signal p2l_d : std_logic_vector(31 downto 0) := (others => '0'); -- Address/Data
signal p2l_be : std_logic_vector(3 downto 0) := (others => '0'); -- Byte Enable for data
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment