Skip to content
Snippets Groups Projects
Commit 219433eb authored by Matthieu Cattin's avatar Matthieu Cattin
Browse files

Connect interrupt request pulse to GN4124 gpio 8.

parent 22936a5c
Branches
Tags
No related merge requests found
......@@ -320,6 +320,10 @@ begin
O => rst_n
);
------------------------------------------------------------------------------
-- IRQ pulse forward to GN4124 GPIO
------------------------------------------------------------------------------
irq_p_o <= irq_p_i;
--============================================================================
-- P2L DataPath
......
......@@ -283,6 +283,9 @@ architecture BEHAVIOUR of LOTUS is
signal led0 : std_logic_vector(7 downto 0);
signal irq_sources : std_logic_vector(1 downto 0);
signal irq_to_gn4124 : std_logic;
begin
------------------------------------------------------------------------------
......@@ -363,9 +366,9 @@ begin
---------------------------------------------------------
-- Interrupt interface
dma_irq_o => open,
irq_p_i => '0',
irq_p_o => open,
dma_irq_o => irq_sources,
irq_p_i => irq_to_gn4124,
irq_p_o => GPIO(8),
---------------------------------------------------------
-- Target Interface (Wishbone master)
......@@ -472,6 +475,9 @@ begin
-- douta =>
-- );
-- just forward irq pulses for test
irq_to_gn4124 <= irq_sources(1) or irq_sources(0);
LED <= (others => '1');
end BEHAVIOUR;
......
0% or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment