diff --git a/hdl/gn4124core/gn4124_core.vhd b/hdl/gn4124core/gn4124_core.vhd index 7238c3f14a679d61cd150dc2f211a4baa3187124..53fedf805a63ae04cb9b15d9f9aa442a7f7d0fec 100644 --- a/hdl/gn4124core/gn4124_core.vhd +++ b/hdl/gn4124core/gn4124_core.vhd @@ -320,6 +320,10 @@ begin O => rst_n ); + ------------------------------------------------------------------------------ + -- IRQ pulse forward to GN4124 GPIO + ------------------------------------------------------------------------------ + irq_p_o <= irq_p_i; --============================================================================ -- P2L DataPath diff --git a/hdl/gn4124core/lotus.vhd b/hdl/gn4124core/lotus.vhd index 2e12cb2f0903120f16777e5f090e25abd4f3b7ed..a70b55ecbcc48b0987e890e7be3350ced98aee4b 100644 --- a/hdl/gn4124core/lotus.vhd +++ b/hdl/gn4124core/lotus.vhd @@ -283,6 +283,9 @@ architecture BEHAVIOUR of LOTUS is signal led0 : std_logic_vector(7 downto 0); + signal irq_sources : std_logic_vector(1 downto 0); + signal irq_to_gn4124 : std_logic; + begin ------------------------------------------------------------------------------ @@ -363,9 +366,9 @@ begin --------------------------------------------------------- -- Interrupt interface - dma_irq_o => open, - irq_p_i => '0', - irq_p_o => open, + dma_irq_o => irq_sources, + irq_p_i => irq_to_gn4124, + irq_p_o => GPIO(8), --------------------------------------------------------- -- Target Interface (Wishbone master) @@ -472,6 +475,9 @@ begin -- douta => -- ); + -- just forward irq pulses for test + irq_to_gn4124 <= irq_sources(1) or irq_sources(0); + LED <= (others => '1'); end BEHAVIOUR;