• Maciej Lipinski's avatar
    [hdl] add missing generic to generic_dpram in altera · c0e85653
    Maciej Lipinski authored
    This generic is dummy (does nothing), yet it is needed since the
    generic component declaration in genram_pkg.vhd has such generic.
    It has it, because the xilinx generic_dpram.vhd has such generic
    and uses it.
    TBD whether we want to attempt at providing similar functionality
    for altera
    c0e85653
Name
Last commit
Last update
..
Manifest.py Loading commit data...
altera_async_fifo.vhd Loading commit data...
altera_sync_fifo.vhd Loading commit data...
gc_shiftreg.vhd Loading commit data...
generic_dpram.vhd Loading commit data...
generic_dpram_mixed.vhd Loading commit data...
generic_simple_dpram.vhd Loading commit data...
generic_spram.vhd Loading commit data...