Commit fc6a84fa authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

wb_lm32: verilog header file must be *.vh otherwise Vivado 2019.2 is very unhappy

parent 605b1e25
......@@ -6,7 +6,7 @@ files = [ "generated/xwb_lm32.vhd",
"src/lm32_addsub.v",
"src/lm32_dp_ram.vhd",
"src/lm32_logic_op.v",
"src/lm32_include.v",
"src/lm32_include.vh",
"src/lm32_ram.vhd",
"src/lm32_shifter.v"];
......
......@@ -26,7 +26,7 @@
// : No Change
// =============================================================================
`include "../../src/lm32_include.v"
`include "../../src/lm32_include.vh"
/////////////////////////////////////////////////////
// Module interface
......
......@@ -26,7 +26,7 @@
// : No Change
// =============================================================================
`include "../../src/lm32_include.v"
`include "../../src/lm32_include.vh"
//`include "lm32_include.v"
/////////////////////////////////////////////////////
......
......@@ -26,7 +26,7 @@
// : No Change
// =============================================================================
`include "lm32_include.v"
`include "lm32_include.vh"
/////////////////////////////////////////////////////
// Module interface
......
......@@ -25,7 +25,7 @@
// : No Change
// =============================================================================
`include "lm32_include.v"
`include "lm32_include.vh"
/////////////////////////////////////////////////////
// Module interface
......
......@@ -62,7 +62,7 @@
// Initial Release
// =============================================================================
`include "lm32_include.v"
`include "lm32_include.vh"
/////////////////////////////////////////////////////
// Module interface
......
......@@ -28,7 +28,7 @@
// : invoking lm32_ram.v
// =============================================================================
`include "lm32_include.v"
`include "lm32_include.vh"
`ifdef CFG_DCACHE_ENABLED
......
......@@ -29,7 +29,7 @@
// : watchpoints is zero.
// =============================================================================
`include "lm32_include.v"
`include "lm32_include.vh"
`ifdef CFG_DEBUG_ENABLED
......
......@@ -32,7 +32,7 @@
// : in System-Verilog.
// =============================================================================
`include "lm32_include.v"
`include "lm32_include.vh"
// Index of opcode field in an instruction
`define LM32_OPCODE_RNG 31:26
......
......@@ -33,7 +33,7 @@
// No change
// =============================================================================
`include "lm32_include.v"
`include "lm32_include.vh"
`ifdef CFG_ICACHE_ENABLED
......
......@@ -44,7 +44,7 @@
// : memory.
// =============================================================================
`include "lm32_include.v"
`include "lm32_include.vh"
/////////////////////////////////////////////////////
// Module interface
......
......@@ -26,7 +26,7 @@
// : No Change
// =============================================================================
`include "lm32_include.v"
`include "lm32_include.vh"
/////////////////////////////////////////////////////
// Module interface
......
......@@ -26,7 +26,7 @@
// : No Change
// =============================================================================
`include "lm32_include.v"
`include "lm32_include.vh"
`ifdef CFG_JTAG_ENABLED
......
......@@ -39,7 +39,7 @@
// : power-of-two
// =============================================================================
`include "lm32_include.v"
`include "lm32_include.vh"
/////////////////////////////////////////////////////
// Module interface
......
......@@ -26,7 +26,7 @@
// : No Change
// =============================================================================
`include "lm32_include.v"
`include "lm32_include.vh"
/////////////////////////////////////////////////////
// Module interface
......
......@@ -26,7 +26,7 @@
// : No Change
// =============================================================================
`include "lm32_include.v"
`include "lm32_include.vh"
`define LM32_MC_STATE_RNG 2:0
`define LM32_MC_STATE_IDLE 3'b000
......
......@@ -31,7 +31,7 @@
// : dual-port EBRs
// =============================================================================
`include "lm32_include.v"
`include "lm32_include.vh"
/////////////////////////////////////////////////////
// Module interface
......
......@@ -26,7 +26,7 @@
// : No Change
// =============================================================================
`include "lm32_include.v"
`include "lm32_include.vh"
/////////////////////////////////////////////////////
// Module interface
......
......@@ -27,7 +27,7 @@
// =============================================================================
`include "system_conf.v"
`include "lm32_include.v"
`include "lm32_include.vh"
/////////////////////////////////////////////////////
// Module interface
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment