Commit fa8df2bb authored by Dimitris Lampridis's avatar Dimitris Lampridis

Clean-up non-ASCII characters and fix line feeds and terminations in all affected files

parent 284373b7
...@@ -42,7 +42,7 @@ ...@@ -42,7 +42,7 @@
@titlepage @titlepage
@title @code{general-cores} VHDL library @title @code{general-cores} VHDL library
@subtitle Programmer's manual @subtitle Programmer's manual
@author CERN BE-CO-HT / Tomasz Włostowski @author CERN BE-CO-HT / Tomasz Wlostowski
@end titlepage @end titlepage
@headings single @headings single
......
...@@ -11,13 +11,13 @@ ...@@ -11,13 +11,13 @@
-- Copyright (c) 2017 CERN -- Copyright (c) 2017 CERN
-- --
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 0.51 (the “License”) (which enables you, at your option, -- License, Version 0.51 (the "License") (which enables you, at your option,
-- to treat this file as licensed under the Apache License 2.0); you may not -- to treat this file as licensed under the Apache License 2.0); you may not
-- use this file except in compliance with the License. You may obtain a copy -- use this file except in compliance with the License. You may obtain a copy
-- of the License at http://solderpad.org/licenses/SHL-0.51. -- of the License at http://solderpad.org/licenses/SHL-0.51.
-- Unless required by applicable law or agreed to in writing, software, -- Unless required by applicable law or agreed to in writing, software,
-- hardware and materials distributed under this License is distributed on an -- hardware and materials distributed under this License is distributed on an
-- “AS IS” BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express -- "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express
-- or implied. See the License for the specific language governing permissions -- or implied. See the License for the specific language governing permissions
-- and limitations under the License. -- and limitations under the License.
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
......
...@@ -6,7 +6,7 @@ ...@@ -6,7 +6,7 @@
-- Author : Tomasz Wlostowski -- Author : Tomasz Wlostowski
-- Company : CERN -- Company : CERN
-- Created : 2011-08-24 -- Created : 2011-08-24
-- Last update: 2012-02-21 -- Last update: 2019-09-09
-- Platform : FPGA-generic -- Platform : FPGA-generic
-- Standard : VHDL'93 -- Standard : VHDL'93
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
...@@ -17,13 +17,13 @@ ...@@ -17,13 +17,13 @@
-- Copyright (c) 2011 CERN / BE-CO-HT -- Copyright (c) 2011 CERN / BE-CO-HT
-- --
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 0.51 (the “License”) (which enables you, at your option, -- License, Version 0.51 (the "License") (which enables you, at your option,
-- to treat this file as licensed under the Apache License 2.0); you may not -- to treat this file as licensed under the Apache License 2.0); you may not
-- use this file except in compliance with the License. You may obtain a copy -- use this file except in compliance with the License. You may obtain a copy
-- of the License at http://solderpad.org/licenses/SHL-0.51. -- of the License at http://solderpad.org/licenses/SHL-0.51.
-- Unless required by applicable law or agreed to in writing, software, -- Unless required by applicable law or agreed to in writing, software,
-- hardware and materials distributed under this License is distributed on an -- hardware and materials distributed under this License is distributed on an
-- “AS IS” BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express -- "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express
-- or implied. See the License for the specific language governing permissions -- or implied. See the License for the specific language governing permissions
-- and limitations under the License. -- and limitations under the License.
-- --
......
...@@ -34,13 +34,13 @@ ...@@ -34,13 +34,13 @@
-- Copyright (c) 2016 CERN/TE-MS-MM -- Copyright (c) 2016 CERN/TE-MS-MM
-- --
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 0.51 (the “License”) (which enables you, at your option, -- License, Version 0.51 (the "License") (which enables you, at your option,
-- to treat this file as licensed under the Apache License 2.0); you may not -- to treat this file as licensed under the Apache License 2.0); you may not
-- use this file except in compliance with the License. You may obtain a copy -- use this file except in compliance with the License. You may obtain a copy
-- of the License at http://solderpad.org/licenses/SHL-0.51. -- of the License at http://solderpad.org/licenses/SHL-0.51.
-- Unless required by applicable law or agreed to in writing, software, -- Unless required by applicable law or agreed to in writing, software,
-- hardware and materials distributed under this License is distributed on an -- hardware and materials distributed under this License is distributed on an
-- “AS IS” BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express -- "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express
-- or implied. See the License for the specific language governing permissions -- or implied. See the License for the specific language governing permissions
-- and limitations under the License. -- and limitations under the License.
-- --
......
...@@ -49,13 +49,13 @@ ...@@ -49,13 +49,13 @@
-- Copyright (c) 2012 - 2017 CERN -- Copyright (c) 2012 - 2017 CERN
-- --
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 0.51 (the “License”) (which enables you, at your option, -- License, Version 0.51 (the "License") (which enables you, at your option,
-- to treat this file as licensed under the Apache License 2.0); you may not -- to treat this file as licensed under the Apache License 2.0); you may not
-- use this file except in compliance with the License. You may obtain a copy -- use this file except in compliance with the License. You may obtain a copy
-- of the License at http://solderpad.org/licenses/SHL-0.51. -- of the License at http://solderpad.org/licenses/SHL-0.51.
-- Unless required by applicable law or agreed to in writing, software, -- Unless required by applicable law or agreed to in writing, software,
-- hardware and materials distributed under this License is distributed on an -- hardware and materials distributed under this License is distributed on an
-- “AS IS” BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express -- "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express
-- or implied. See the License for the specific language governing permissions -- or implied. See the License for the specific language governing permissions
-- and limitations under the License. -- and limitations under the License.
-- --
......
...@@ -18,13 +18,13 @@ ...@@ -18,13 +18,13 @@
-- Copyright (c) 2009-2011 CERN -- Copyright (c) 2009-2011 CERN
-- --
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 0.51 (the “License”) (which enables you, at your option, -- License, Version 0.51 (the "License") (which enables you, at your option,
-- to treat this file as licensed under the Apache License 2.0); you may not -- to treat this file as licensed under the Apache License 2.0); you may not
-- use this file except in compliance with the License. You may obtain a copy -- use this file except in compliance with the License. You may obtain a copy
-- of the License at http://solderpad.org/licenses/SHL-0.51. -- of the License at http://solderpad.org/licenses/SHL-0.51.
-- Unless required by applicable law or agreed to in writing, software, -- Unless required by applicable law or agreed to in writing, software,
-- hardware and materials distributed under this License is distributed on an -- hardware and materials distributed under this License is distributed on an
-- “AS IS” BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express -- "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express
-- or implied. See the License for the specific language governing permissions -- or implied. See the License for the specific language governing permissions
-- and limitations under the License. -- and limitations under the License.
-- --
......
...@@ -10,13 +10,13 @@ ...@@ -10,13 +10,13 @@
-- Copyright (c) 2012-2017 CERN -- Copyright (c) 2012-2017 CERN
-- --
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 0.51 (the “License”) (which enables you, at your option, -- License, Version 0.51 (the "License") (which enables you, at your option,
-- to treat this file as licensed under the Apache License 2.0); you may not -- to treat this file as licensed under the Apache License 2.0); you may not
-- use this file except in compliance with the License. You may obtain a copy -- use this file except in compliance with the License. You may obtain a copy
-- of the License at http://solderpad.org/licenses/SHL-0.51. -- of the License at http://solderpad.org/licenses/SHL-0.51.
-- Unless required by applicable law or agreed to in writing, software, -- Unless required by applicable law or agreed to in writing, software,
-- hardware and materials distributed under this License is distributed on an -- hardware and materials distributed under this License is distributed on an
-- “AS IS” BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express -- "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express
-- or implied. See the License for the specific language governing permissions -- or implied. See the License for the specific language governing permissions
-- and limitations under the License. -- and limitations under the License.
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
......
...@@ -6,7 +6,7 @@ ...@@ -6,7 +6,7 @@
-- Author : Pablo Alvarez Sanchez -- Author : Pablo Alvarez Sanchez
-- Company : CERN BE-Co-HT -- Company : CERN BE-Co-HT
-- Created : 2010-02-25 -- Created : 2010-02-25
-- Last update: 2011-04-29 -- Last update: 2019-09-09
-- Platform : FPGA-generic -- Platform : FPGA-generic
-- Standard : VHDL '87 -- Standard : VHDL '87
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
...@@ -17,13 +17,13 @@ ...@@ -17,13 +17,13 @@
-- Copyright (c) 2009 - 2010 CERN -- Copyright (c) 2009 - 2010 CERN
-- --
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 0.51 (the “License”) (which enables you, at your option, -- License, Version 0.51 (the "License") (which enables you, at your option,
-- to treat this file as licensed under the Apache License 2.0); you may not -- to treat this file as licensed under the Apache License 2.0); you may not
-- use this file except in compliance with the License. You may obtain a copy -- use this file except in compliance with the License. You may obtain a copy
-- of the License at http://solderpad.org/licenses/SHL-0.51. -- of the License at http://solderpad.org/licenses/SHL-0.51.
-- Unless required by applicable law or agreed to in writing, software, -- Unless required by applicable law or agreed to in writing, software,
-- hardware and materials distributed under this License is distributed on an -- hardware and materials distributed under this License is distributed on an
-- “AS IS” BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express -- "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express
-- or implied. See the License for the specific language governing permissions -- or implied. See the License for the specific language governing permissions
-- and limitations under the License. -- and limitations under the License.
-- --
......
...@@ -21,13 +21,13 @@ ...@@ -21,13 +21,13 @@
-- Copyright (c) 2011 CERN -- Copyright (c) 2011 CERN
-- --
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 0.51 (the “License”) (which enables you, at your option, -- License, Version 0.51 (the "License") (which enables you, at your option,
-- to treat this file as licensed under the Apache License 2.0); you may not -- to treat this file as licensed under the Apache License 2.0); you may not
-- use this file except in compliance with the License. You may obtain a copy -- use this file except in compliance with the License. You may obtain a copy
-- of the License at http://solderpad.org/licenses/SHL-0.51. -- of the License at http://solderpad.org/licenses/SHL-0.51.
-- Unless required by applicable law or agreed to in writing, software, -- Unless required by applicable law or agreed to in writing, software,
-- hardware and materials distributed under this License is distributed on an -- hardware and materials distributed under this License is distributed on an
-- “AS IS” BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express -- "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express
-- or implied. See the License for the specific language governing permissions -- or implied. See the License for the specific language governing permissions
-- and limitations under the License. -- and limitations under the License.
-- --
......
...@@ -11,13 +11,13 @@ ...@@ -11,13 +11,13 @@
-- Copyright (c) 2017 CERN -- Copyright (c) 2017 CERN
-- --
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 0.51 (the “License”) (which enables you, at your option, -- License, Version 0.51 (the "License") (which enables you, at your option,
-- to treat this file as licensed under the Apache License 2.0); you may not -- to treat this file as licensed under the Apache License 2.0); you may not
-- use this file except in compliance with the License. You may obtain a copy -- use this file except in compliance with the License. You may obtain a copy
-- of the License at http://solderpad.org/licenses/SHL-0.51. -- of the License at http://solderpad.org/licenses/SHL-0.51.
-- Unless required by applicable law or agreed to in writing, software, -- Unless required by applicable law or agreed to in writing, software,
-- hardware and materials distributed under this License is distributed on an -- hardware and materials distributed under this License is distributed on an
-- “AS IS” BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express -- "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express
-- or implied. See the License for the specific language governing permissions -- or implied. See the License for the specific language governing permissions
-- and limitations under the License. -- and limitations under the License.
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
......
...@@ -11,13 +11,13 @@ ...@@ -11,13 +11,13 @@
-- Copyright (c) 2017 CERN -- Copyright (c) 2017 CERN
-- --
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 0.51 (the “License”) (which enables you, at your option, -- License, Version 0.51 (the "License") (which enables you, at your option,
-- to treat this file as licensed under the Apache License 2.0); you may not -- to treat this file as licensed under the Apache License 2.0); you may not
-- use this file except in compliance with the License. You may obtain a copy -- use this file except in compliance with the License. You may obtain a copy
-- of the License at http://solderpad.org/licenses/SHL-0.51. -- of the License at http://solderpad.org/licenses/SHL-0.51.
-- Unless required by applicable law or agreed to in writing, software, -- Unless required by applicable law or agreed to in writing, software,
-- hardware and materials distributed under this License is distributed on an -- hardware and materials distributed under this License is distributed on an
-- “AS IS” BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express -- "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express
-- or implied. See the License for the specific language governing permissions -- or implied. See the License for the specific language governing permissions
-- and limitations under the License. -- and limitations under the License.
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
......
...@@ -5,7 +5,7 @@ ...@@ -5,7 +5,7 @@
-- Project : General Cores Collection -- Project : General Cores Collection
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- File : simple_pwm_wb.wb -- File : simple_pwm_wb.wb
-- Author : Tomasz Włostowski -- Author : Tomasz Wlostowski
-- Company : CERN BE-CO-HT -- Company : CERN BE-CO-HT
-- Created : 2012-12-10 -- Created : 2012-12-10
-- Last update: 2013-01-09 -- Last update: 2013-01-09
......
...@@ -11,13 +11,13 @@ ...@@ -11,13 +11,13 @@
-- Copyright (c) 2011 CERN -- Copyright (c) 2011 CERN
-- --
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 0.51 (the “License”) (which enables you, at your option, -- License, Version 0.51 (the "License") (which enables you, at your option,
-- to treat this file as licensed under the Apache License 2.0); you may not -- to treat this file as licensed under the Apache License 2.0); you may not
-- use this file except in compliance with the License. You may obtain a copy -- use this file except in compliance with the License. You may obtain a copy
-- of the License at http://solderpad.org/licenses/SHL-0.51. -- of the License at http://solderpad.org/licenses/SHL-0.51.
-- Unless required by applicable law or agreed to in writing, software, -- Unless required by applicable law or agreed to in writing, software,
-- hardware and materials distributed under this License is distributed on an -- hardware and materials distributed under this License is distributed on an
-- “AS IS” BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express -- "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express
-- or implied. See the License for the specific language governing permissions -- or implied. See the License for the specific language governing permissions
-- and limitations under the License. -- and limitations under the License.
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
......
...@@ -11,13 +11,13 @@ ...@@ -11,13 +11,13 @@
-- Copyright (c) 2011 CERN -- Copyright (c) 2011 CERN
-- --
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 0.51 (the “License”) (which enables you, at your option, -- License, Version 0.51 (the "License") (which enables you, at your option,
-- to treat this file as licensed under the Apache License 2.0); you may not -- to treat this file as licensed under the Apache License 2.0); you may not
-- use this file except in compliance with the License. You may obtain a copy -- use this file except in compliance with the License. You may obtain a copy
-- of the License at http://solderpad.org/licenses/SHL-0.51. -- of the License at http://solderpad.org/licenses/SHL-0.51.
-- Unless required by applicable law or agreed to in writing, software, -- Unless required by applicable law or agreed to in writing, software,
-- hardware and materials distributed under this License is distributed on an -- hardware and materials distributed under this License is distributed on an
-- “AS IS” BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express -- "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express
-- or implied. See the License for the specific language governing permissions -- or implied. See the License for the specific language governing permissions
-- and limitations under the License. -- and limitations under the License.
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
......
...@@ -11,13 +11,13 @@ ...@@ -11,13 +11,13 @@
-- Copyright (c) 2011 CERN -- Copyright (c) 2011 CERN
-- --
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 0.51 (the “License”) (which enables you, at your option, -- License, Version 0.51 (the "License") (which enables you, at your option,
-- to treat this file as licensed under the Apache License 2.0); you may not -- to treat this file as licensed under the Apache License 2.0); you may not
-- use this file except in compliance with the License. You may obtain a copy -- use this file except in compliance with the License. You may obtain a copy
-- of the License at http://solderpad.org/licenses/SHL-0.51. -- of the License at http://solderpad.org/licenses/SHL-0.51.
-- Unless required by applicable law or agreed to in writing, software, -- Unless required by applicable law or agreed to in writing, software,
-- hardware and materials distributed under this License is distributed on an -- hardware and materials distributed under this License is distributed on an
-- “AS IS” BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express -- "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express
-- or implied. See the License for the specific language governing permissions -- or implied. See the License for the specific language governing permissions
-- and limitations under the License. -- and limitations under the License.
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
......
...@@ -11,13 +11,13 @@ ...@@ -11,13 +11,13 @@
-- Copyright (c) 2011 CERN -- Copyright (c) 2011 CERN
-- --
-- Copyright and related rights are licensed under the Solderpad Hardware -- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 0.51 (the “License”) (which enables you, at your option, -- License, Version 0.51 (the "License") (which enables you, at your option,
-- to treat this file as licensed under the Apache License 2.0); you may not -- to treat this file as licensed under the Apache License 2.0); you may not
-- use this file except in compliance with the License. You may obtain a copy -- use this file except in compliance with the License. You may obtain a copy
-- of the License at http://solderpad.org/licenses/SHL-0.51. -- of the License at http://solderpad.org/licenses/SHL-0.51.
-- Unless required by applicable law or agreed to in writing, software, -- Unless required by applicable law or agreed to in writing, software,
-- hardware and materials distributed under this License is distributed on an -- hardware and materials distributed under this License is distributed on an
-- “AS IS” BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express -- "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express
-- or implied. See the License for the specific language governing permissions -- or implied. See the License for the specific language governing permissions
-- and limitations under the License. -- and limitations under the License.
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
......
...@@ -3,7 +3,7 @@ ...@@ -3,7 +3,7 @@
-- Project : General Cores Library -- Project : General Cores Library
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- File : wb_xilinx_fpga_loader.vhd -- File : wb_xilinx_fpga_loader.vhd
-- Author : Tomasz Włostowski -- Author : Tomasz Wlostowski
-- Company : CERN BE-CO-HT -- Company : CERN BE-CO-HT
-- Created : 2012-01-30 -- Created : 2012-01-30
-- Last update : 2012-01-30 -- Last update : 2012-01-30
......
...@@ -5,7 +5,7 @@ ...@@ -5,7 +5,7 @@
-- Project : General Cores Library -- Project : General Cores Library
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- File : xloader_wb.wb -- File : xloader_wb.wb
-- Author : Tomasz Włostowski -- Author : Tomasz Wlostowski
-- Company : CERN BE-CO-HT -- Company : CERN BE-CO-HT
-- Created : 2012-01-30 -- Created : 2012-01-30
-- Last update : 2012-01-30 -- Last update : 2012-01-30
......
...@@ -3,7 +3,7 @@ ...@@ -3,7 +3,7 @@
-- Project : General Cores Library -- Project : General Cores Library
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- File : xwb_xilinx_fpga_loader.vhd -- File : xwb_xilinx_fpga_loader.vhd
-- Author : Tomasz Włostowski -- Author : Tomasz Wlostowski
-- Company : CERN BE-CO-HT -- Company : CERN BE-CO-HT
-- Created : 2012-01-30 -- Created : 2012-01-30
-- Last update : 2012-01-30 -- Last update : 2012-01-30
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment