Commit d2ac70a7 authored by Wesley W. Terpstra's avatar Wesley W. Terpstra

serial_lcd: generic_dpram dual_clock generic matters now -- it was wrong

parent 0cd877cf
......@@ -63,7 +63,7 @@ begin
g_data_width => 32,
g_size => 2**c_bits,
g_with_byte_enable => true,
g_dual_clock => false)
g_dual_clock => true)
port map(
clka_i => slave_clk_i,
bwea_i => slave_i.sel,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment