Commit c590dfac authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

lm32: fix strip_undefined function for Vivado

Fix it the same way as f_x_to_zero() is fixed in wbgen.
parent 36f62c0a
......@@ -241,10 +241,10 @@ architecture rtl of xwb_lm32 is \n""");
variable tmp : std_logic_vector(x'left downto 0);
begin
for i in 0 to x'left loop
if(x(i)='X' or x(i)='U' or x(i)='Z') then
tmp(i) := '0';
if(x(i)='1') then
tmp(i) := '1';
else
tmp(i) := x(i);
tmp(i) := '0';
end if;
end loop; -- i
return tmp;
......
......@@ -348,10 +348,10 @@ end component;
variable tmp : std_logic_vector(x'left downto 0);
begin
for i in 0 to x'left loop
if(x(i)='X' or x(i)='U' or x(i)='Z') then
tmp(i) := '0';
if(x(i)='1') then
tmp(i) := '1';
else
tmp(i) := x(i);
tmp(i) := '0';
end if;
end loop; -- i
return tmp;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment