Commit c2352830 authored by Dimitris Lampridis's avatar Dimitris Lampridis

hdl: fix component declaration for gc_i2c_slave

parent d7b51c4a
...@@ -364,7 +364,9 @@ package gencores_pkg is ...@@ -364,7 +364,9 @@ package gencores_pkg is
-- 0 - SCL and SDA lines are passed only through synchronizer -- 0 - SCL and SDA lines are passed only through synchronizer
-- 1 - one clk_i glitches filtered -- 1 - one clk_i glitches filtered
-- 2 - two clk_i glitches filtered -- 2 - two clk_i glitches filtered
g_gf_len : natural := 0 g_gf_len : natural := 0;
-- Automatically ACK reception upon address match.
g_auto_addr_ack : boolean := FALSE
); );
port port
( (
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment