Commit c010febb authored by Tristan Gingold's avatar Tristan Gingold

Mention wb_metadata and add it to the Manifest.

parent c0e0cbe7
......@@ -204,3 +204,5 @@ Directory [modules/wishbone](modules/wishbone) contains modules for wishbone.
- [wb_remapper](modules/wishbone/wb_remapper) allows to remap addresses.
- [wb_conmax](modules/wishbone/wb_conmax) is an interconnect matrix,
superseeded by the crossbar.
- [wb_metadata](modules/wishbone/wb_metadata) is a little helper to
create metadata for the convention.
......@@ -24,6 +24,7 @@ modules = { "local" : [
"wb_simple_pwm",
"wb_i2c_bridge",
"wb_ds182x_readout",
"wb_metadata",
"wbgen2",
"wbgenplus",
]}
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment