Commit b7786ef6 authored by Theodor-Adrian Stana's avatar Theodor-Adrian Stana Committed by Tomasz Wlostowski

Small clean-up in gc_i2c_slave module and the instantiating wb_i2c_bridge module

Signed-off-by: Theodor-Adrian Stana's avatarTheodor Stana <t.stana@cern.ch>
Signed-off-by: Tomasz Wlostowski's avatarTomasz Włostowski <tomasz.wlostowski@cern.ch>
parent ff660239
This diff is collapsed.
......@@ -292,7 +292,7 @@ package gencores_pkg is
sda_en_o : out std_logic;
-- Slave address
addr_i : in std_logic_vector(6 downto 0);
i2c_addr_i : in std_logic_vector(6 downto 0);
-- ACK input, should be set after done_p_o = '1'
-- (note that the bit is reversed wrt I2C ACK bit)
......@@ -308,8 +308,8 @@ package gencores_pkg is
-- Pulse outputs signaling various I2C actions
-- Start and stop conditions
sta_p_o : out std_logic;
sto_p_o : out std_logic;
i2c_sta_p_o : out std_logic;
i2c_sto_p_o : out std_logic;
-- Received address corresponds addr_i
addr_good_p_o : out std_logic;
-- Read and write done
......
......@@ -182,15 +182,15 @@ begin
sda_o => sda_o,
sda_en_o => sda_en_o,
addr_i => i2c_addr_i,
i2c_addr_i => i2c_addr_i,
ack_i => slv_ack,
tx_byte_i => tx_byte,
rx_byte_o => rx_byte,
sta_p_o => open,
sto_p_o => slv_sto_p,
i2c_sta_p_o => open,
i2c_sto_p_o => slv_sto_p,
addr_good_p_o => slv_addr_good_p,
r_done_p_o => slv_r_done_p,
w_done_p_o => slv_w_done_p,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment