Commit a472d47f authored by Dimitris Lampridis's avatar Dimitris Lampridis

genrams: add initial values to rcb and wcb in async fifos to make simulators happier

parent 41a19a4c
......@@ -115,7 +115,7 @@ architecture syn of inferred_async_fifo is
type t_mem_type is array (0 to g_size-1) of std_logic_vector(g_data_width-1 downto 0);
signal mem : t_mem_type := (others => (others => '0'));
signal rcb, wcb : t_counter_block;
signal rcb, wcb : t_counter_block := (others =>(others => '0'));
signal rd_ptr_muxed : t_counter;
......
......@@ -105,7 +105,7 @@ architecture arch of inferred_async_fifo_dual_rst is
type t_mem_type is array (0 to g_size-1) of std_logic_vector(g_data_width-1 downto 0);
signal mem : t_mem_type := (others => (others => '0'));
signal rcb, wcb : t_counter_block;
signal rcb, wcb : t_counter_block := (others =>(others => '0'));
signal rd_ptr_muxed : t_counter;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment