Commit 9dcde218 authored by kblantos's avatar kblantos

Updated README for AXI testbench

parent 5b4bbaef
......@@ -30,3 +30,5 @@ make
./run.sh --wave=waveform.ghw
```
## Maintainer
- [Konstantinos Blantos](mailto:konstantinos.blantos@cern.ch)
This is a testbench in order to verify the behavior of the axi4lite 32-bits
to axi4full 64-bits, bridge. Master is the axi4lite and the slave is axi4full.
For the development of the RTL core and the testbench, the documentation that
taken into account is : "AMBA AXI and ACE Protocol Specification". OSVVM used
as verification methodology and GHDL is the simulator.
## Description
This is a testbench in order to verify the behavior of the axi4lite 32-bits to axi4full 64-bits, bridge [axi4lite32_axi4full64_bridge](../../modules/axi/axi4lite32_axi4full64_bridge/axi4lite32_axi4full64_bridge.vhd). Master is the axi4lite and the slave is axi4full.
NOTE: You can change the simulation time by changing the NOW variable in the stimulus
The testing process is the following:
- Randomized inputs are given to the Design Under Test
- FSM coverage is printed in the end.
- Assertions are used to check the functionality of the AXI4-Full and AXI4-Lite protocols
This is a testbench in order to verify the behavior of the axi4lite to axi4full
bridge. Master is the axi4lite and the slave is axi4full.
For the development of the RTL core and the testbench, the documentation that
taken into account is : "AMBA AXI and ACE Protocol Specification".
NOTE: You can change the simulation time by changing the NOW variable in the stimulus
The testing process is the following:
- Randomized inputs are given to the Design Under Test
- FSM coverage is printed in the end.
- Assertions are used to check the functionality of the AXI4-Full and AXI4-Lite protocols
## Description
This is a testbench in order to verify the behavior of the axi4lite to axi4full bridge [axi4lite_axi4full_bridge](../../modules/axi/axi4lite_axi4full_bridge/axi4lite_axi4full_bridge.vhd). Master is the axi4lite and the slave is axi4full.
NOTE: You can change the simulation time by changing the NOW variable in the stimulus of the test
This is the testbench for the axi4lite to wishbone bridge (axi4lite_wb_bridge).
## Description
This is the testbench for the AXI4 Lite to Wishbone bridge core [axi4lite_wb_bridge](../../modules/axi/axi4lite_wb_bridge/xaxi4lite_wb_bridge.vhd).
In this core, the Master is AXI4 Lite and Slave is Wishbone.
For the development of the RTL core and the testbench, the documentation that
taken into account is : "AMBA AXI and ACE Protocol Specification".
NOTE: You can change the simulation time by changing the NOW variable in the stimulus
The testing process is the following:
- Randomized inputs are given to the Design Under Test, both for Master and Slave
- FSM coverage is printed in the end.
- Assertions are used to check the functionality of the AXI4-Lite and Wishbone protocols
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment